由买买提看人间百态

topics

全部话题 - 话题: 22nm
1 2 3 4 5 6 7 8 下页 末页 (共8页)
t**t
发帖数: 27760
1
Intel IDF2009技术论坛已经在旧金山正式开幕,会上Intel CEO保罗欧德宁展示了基于
Intel 22nm制程技术的芯片成品,这也是世界上首款可正常工作的22nm制程芯片产品。
这些基于22nm制程的芯片样品既包含SRAM存储芯片,也包含逻辑电 路芯片,Intel并宣
称2011年下半年会开始使用22nm制程技术进行量产。
G**U
发帖数: 5492
2
来自主题: Hardware版 - Intel 22nm光刻工艺背后的故事
去年九月底的旧金山秋季IDF 2009论坛上,Intel第一次向世人展示了22nm工艺晶圆,
并宣布将在
2011年下半年发布相关产品。
当然了,新型半导体工艺的实现并不是Intel一家就能做到的,背后默默贡献半导体设
备的功臣却往往
不为人所知。Arete Research LLC公司的分析师Jagadish Iyer在一份报告中指出,
Intel即将
最终决定22nm光刻工艺设备的供应商,最终入围的是荷兰ASML Holding NV和日本尼康
两家。
其实在更早的45nm世代,ASML和尼康也曾双双成为Intel的光刻设备供应商,但在32nm
节点上Intel
首次应用了沉浸式光刻技术,只有尼康一家提供相关设备,如今ASML又要回来了。
此番ASML提供的光刻机为“NXT:1950i”,每台平均售价4000万欧元(5440万美元),负
责40%的前道
(FEOL)光刻层;尼康的光刻机则是“S620D”,报价3000万美元,负责60%的后道(BEOL
)光刻层。
Intel的22nm工艺将有45个光刻层,其中55%需要进行沉浸式光刻。
在22nm工艺上,Intel会继续使用193n
D**s
发帖数: 6361
3
格罗方德300mm晶圆厂落户中国:22nm工艺
全球第二大晶圆代工厂格罗方德(GlobalFoundries)今天宣布,携手成都市政府,在成都
高新区建立全新的合资晶圆制造厂,双方正式签约并举行开工仪式。
本次合作将打造中国西南地区第一条12英寸(300毫米)晶圆生产线,投资规模累计超过
100亿美元。这也是格罗方德在中国最大和最先进的晶圆制造基地。
格罗方德同时宣布,将在中国市场启用全新中文名“格芯”。“格”,公司现有中文名称
的第一个字相同,亦有“探究事物原理,而从中获得智慧”的含义;“芯”则表达“芯片
”之意。两个字合在一起,发音与“革新”相同,寓意着重生、振兴与改革。
新工厂将分两期建设,一期建设主流CMOS工艺生产线,从新加坡转入,预计2018年底投产,
主要为0.13微米、0.18微米,月产能2万片。
二期建设格芯最新的22FDX 22nm FD-SOI工艺生产线,从德国转入,预计2019年第四季度
投产,月产能6.5万片。
22FDX 22nm FD-SOI工艺具有功耗省、综合成本低等优势,可广泛应用于各类移动终端、
物联网、智能设备、汽车电子、5G无线基础设施等领域,在... 阅读全帖
f******d
发帖数: 6361
4
看到今早intel发布的消息,22nm的3d transistor:
http://finance.yahoo.com/news/Intel-Reinvents-Transistors-bw-42
http://finance.yahoo.com/news/Intel-redesigns-transistors-apf-6
http://tech.163.com/11/0505/01/738LMBEO000915BD.html
1.大大们评论一下,有何看法,这种3-D Structure对未来业界的影响?
2.还有,intel正在招人为22nm/14nm做准备,process 到了14nm之后的roadmap是怎样
的?
顺便转一个曾经看过的slide(有点旧):http://www.hkn.org/bridge/spring2006/sp2006_goodnick1.pdf (主要看第2页和倒数第2页)
3.第2页Single Electron Devices, Molecular Electronics是啥东西?这个跟传说中
的量子计算机有木有关系?
4.倒数第2页的roadmap... 阅读全帖
c****h
发帖数: 4968
5
http://www.zdnet.com/cn/china-makes-22nm-integrated-circuit-bre
Scientists from the Institute of Microelectronics of the Chinese Academy of
Sciences uses high-k metal gate materials to produce cheaper, low-power
integrated circuits.
知青人看看含金量高不高。
A****s
发帖数: 932
6
实验室里搞的。英特尔2003年实验室里就搞出15nm 的工艺了. 高K材料半导体业界都搞
了20年了。22nm 的关键不是材料,是光刻。光刻机世界上只有两个公司生产,Nikon
和ASML.

of
★ 发自iPhone App: ChineseWeb 7.7
R****a
发帖数: 6858
7
中国最新一代集成电路(22nm)制造工艺研发取得突破性进展
新华网 北京12月21日电 记者吴晶晶
记者近日从中国科学院微电子研究所获悉,该所集成电路先导工艺研发中心在22
纳米技术代集成电路关键技术研发上取得突破性进展,掌握这种工艺将有利于提升中国
自主生产制造更加质优价廉的集成电路产品的能力。
人们使用的电脑、手机等速度更快、耗电更省、成本更低,这都有赖于集成电路
制造工艺的不断进步。
据介绍,22纳米约相当于普通成年人头发丝直径的2300分之一,采用 2
2纳米集成电路技术可以在一根头发丝的横截面上集成大约1000万个晶体管,从而
使集成电路产品的功能更多样化,速度更快,成本更低。研究人员摒弃了传统的二氧化
硅、多晶硅等材料,采用了高K材料、金属栅材料等新材料新工艺,研制出了性能良好
的器件,技术水平达到国内领先、世界一流。
22纳米技术代集成电路技术是全球正在研发的最新一代集成电路制造工艺。多
年来,中国的集成电路制造工艺大多是在引进国外知识产权基础上进行产品工艺开发,
在全球产业链最先进工艺的开发上缺少布局和话语权。
2009年,中国在国... 阅读全帖

发帖数: 1
8
Intel H310C主板原生支持Win7:制造工艺从14nm退回22nm
支持8代U。。。
V**3
发帖数: 12756
9
没有实际需求,C P U已经能力过剩

:Intel H310C主板原生支持Win7:制造工艺从14nm退回22nm
:支持8代U。。。
t**t
发帖数: 27760
10
22nm
我想知道量子隧穿效率是从多少nm开始?
a***e
发帖数: 27968
11
22nm first :)
d*******e
发帖数: 617
12
来自主题: Hardware版 - 没有22nm的ultrabook卖
什么时候才有22nm Intel CPU的ultrabook卖?
听说图像的性能会好很多?
f***y
发帖数: 4447
13
中国微电子所在FinFET工艺上的突破有何意义?
雷锋网(公众号:雷锋网)按:SOI技术作为一种全介质隔离技术,可以用来替代硅衬底
。为何FinFET会成为主流,即便是掌握了22nm FD-SOI工艺的格罗方德还是购买了三星
的14nm FinFET技术授权呢?本文将会解析:新型FinFET逻辑器件工艺突破到底有什么
影响?
最近,中国微电子所集成电路先导工艺研发中心在下一代新型FinFET逻辑器件工艺研究
上取得重要进展。微电子所殷华湘研究员的课题组,利用低温低阻NiPt硅化物在新型
FOI FinFET上实现了全金属化源漏(MSD),能显著降低源漏寄生电阻,从而将N/PMOS
器件性能提高大约30倍,使得驱动性能达到了国际先进水平。
基于本研究成果的论文被2016年IEEE国际电子器件大会(IEDM)接收,并在IEDM的关键
分会场之一——硅基先导CMOS 工艺和制造技术(PMT)上,由张青竹做了学术报告。
那么,这个新型FinFET逻辑器件工艺是干啥用的呢?通俗的说就是下一用来制造CPU等逻
辑器件的工艺,举例来说,现在14/16nm芯片大多采用FinFET工艺,而这个新型Fin... 阅读全帖
s*x
发帖数: 8041
14
来自主题: Military版 - 半导体生产设备和材料
半导体生产设备和材料,是半导体产品的最上游。全世界每年销售的生产设备和材料,
加起来总共800多亿美元左右,如果仅仅从规模上来看,其实并不大,就算有个国家占
据了全球50%的生产设备和材料的份额,一年销售额也就是400亿美元多点。这两个领域
主要是技术门槛高,同时是制高点,因此可以起到控制他国集成电路发展速度的作用。
具体来说,根据国际半导体产业协会SEMI的统计,2016年全球半导体设备出货额412亿
美元,全球半导体材料出货额443亿美元。
而据国际半导体产业协会(SEMI) 2018年1月26日公布的数据,2017年全球半导体设备商
出货金额达到560亿美元,比起上一年大幅增长接近40%,创下历史新高。很遗憾的是,
由于全球新建的12英寸晶圆厂在逐渐向中国集中,因此半导体设备采购额增长,很大程
度上来自中国,在这个领域,我们处于受制于人的局面。
我们看下Gartner 2016年的全球十大半导体设备制造商排名,当然里面并没有中国公司
出现,只有三个国家的公司上榜了,美国,日本和荷兰。
世界前三名是美国应用材料,美国Lam Research,荷兰ASML。
接下来是第四名日本的东京... 阅读全帖
f***y
发帖数: 4447
15
来自主题: Military版 - 除了光刻机,其他都能造
除了光刻机,其他都能造。
https://zhuanlan.zhihu.com/p/33375439
半导体生产设备和材料,是半导体产品的最上游。全世界每年销售的生产设备和材料,
加起来总共800多亿美元左右,如果仅仅从规模上来看,其实并不大,就算有个国家占
据了全球50%的生产设备和材料的份额,一年销售额也就是400亿美元多点。这两个领域
主要是技术门槛高,同时是制高点,因此可以起到控制他国集成电路发展速度的作用。
具体来说,根据国际半导体产业协会SEMI的统计,2016年全球半导体设备出货额412亿
美元,全球半导体材料出货额443亿美元。
而据国际半导体产业协会(SEMI) 2018年1月26日公布的数据,2017年全球半导体设备商
出货金额达到560亿美元,比起上一年大幅增长接近40%,创下历史新高。很遗憾的是,
由于全球新建的12英寸晶圆厂在逐渐向中国集中,因此半导体设备采购额增长,很大程
度上来自中国,在这个领域,我们处于受制于人的局面。
我们看下Gartner 2016年的全球十大半导体设备制造商排名,当然里面并没有中国公司
出现,只有三个国家的公司上榜了,美国,日本和荷... 阅读全帖
d*******e
发帖数: 617
16
来自主题: Stock版 - 大家千万不要买INTC
INTC应该全部或大部分停产32nm,全力生产22nm.
继续生产32nm, 不仅会浪费现有的生产资源,还浪费这些32nm将会使用的电
如果INTC用22nm生产Atom Z2760,肯定可以和ARM在Tablet市场上绝一死战。估计INTC
担心22nm Atom会影响主流芯片,不敢上22nm Atom。

20nm/
28nm
d*******e
发帖数: 617
17
来自主题: Stock版 - 大家千万不要买INTC
INTC应该全部或大部分停产32nm,全力生产22nm.
继续生产32nm, 不仅会浪费现有的生产资源,还浪费这些32nm将会使用的电
如果INTC用22nm生产Atom Z2760,肯定可以和ARM在Tablet市场上绝一死战。估计INTC
担心22nm Atom会影响主流芯片,不敢上22nm Atom。

20nm/
28nm
s****n
发帖数: 8912
18
来自主题: Military版 - 3-D立体晶体管就要来了
Intel在昨日的季度财务会议上公开确认,下一代新工艺22nm已经正式投入批量生产。3
-D立体晶体管就要来了,Ivy Bridge就要来了。
Intel CEO Paul Otellini告诉分析人士:“第三季度内,我们使用22nm工艺开始了Ivy
Bridge的量产。22nm将会掀起3-D晶体管时代的大幕。未来数代时间里,(3D晶体管)将
在功耗、性能和密度等方面扮演关键角色。”
按照Intel的说法,相比于现有的32nm工艺,3-D三栅极晶体管将以更低的电压带来37%
的性能提升,因此在同等性能水平下,Ivy Bridge的电压、功耗都会更低,最多可将50
%。同时,3-D晶体管技术还能改进切换属性、提高驱动电流、降低附加成本(仅仅2-3
%)。
Intel同时还通过官方博客宣布,3-D三栅极晶体管技术获得了《华尔街日报》颁发的半
导体类年度技术创新奖,也算是验证了Intel“重新发明晶体管”的豪气说法。
Ivy Bridge将在2012年3-4月正式发布。
l*****i
发帖数: 20533
19
由于之前有报道说中国22nm技术获突破,这似乎意味着要么国内可以自己造传统光刻机
,要么是国外已经解禁。采用传统工艺的话,22nm与10nm主要差在后者多一层蚀刻处理
,也就是说主要是具体工艺问题。不过22nm工艺本身也需要两次蚀刻,所以应该不是很
本质的差距。
s*****r
发帖数: 43070
20
来自主题: Military版 - 10纳米的光刻机国产了是假新闻
这可能是GF在成都投资建厂的关键,7nm光刻机有了,老式的22nm早晚要被淘汰,正好
倒腾给中国
不过对中国来讲,22nm光刻机也是先进设备的,目前最牛的只有intel在大连的65nm光
刻机,比22nm又落后太多

10nm
EUV
C*********g
发帖数: 918
21
关于Ivy Bridge在超频时温度大大高于Sandy Bridge这一点早已被证实。至于具体原因
此前分析大概有两种说法,一种是Ivy Bridge制程升级导致核心面积变小后和顶盖接触
面积变小,能量/面积的密度提高;另外一种说法直接把矛头指向Intel 22nm FinFET/
tri-gate技术。OverClockers在日前给出了一份看似合理的解释:矛头直接指向了
Intel新一代封装工艺。
NordicHardware网站才看到这个报道之后给Intel发出了公函,并很快得到了Intel的官
方答复,全文如下:
“我们确实在22nm制造工艺的第三代Core处理器中采用了全新的散热封装技术,但由于
22nm制造工艺的热密度较高,所以用户在超频时候确实会遇到温度提高的情况,但这些
问题是在我们的设计考虑之内的,但CPU的质量依然是可以保证的。”
Intel的这个回复充满了“官方”味道,扯了一大堆就是没有提到重点,他们承认更换
了散热封装技术,也承认CPU温度高了,但就是不告诉你CPU温度提升的原因究竟在哪里
,更别提为什么要更换散热封装技术了。
另外针对散热封装技术的改变导致CP... 阅读全帖
d*******3
发帖数: 148
22

07年,传统的以SiO2为基础的MOSFET走到尽头,取而代之的是高介电常数,俗称high-k
材料,以HfO2为代表,moore's 得以继续延续,65nm 到 45nm, 再到 32nm,以及今年的
22nm,不过这些都是基于2-D结构,但要再scaling到22nm以下,2-D结构也要到了头,
面临严重的short channel effect.于是最近呼声甚大的3-D MOSFET 摆上的桌面
所谓的3-D MOSFET结构也已经研发了十多年了,主要是以Intel为代表的Tri-gate 和
其他公司的 FinFET.
EUV光刻在22nm以下技术的是关键中的关键...
c***l
发帖数: 13273
23
【 以下文字转载自 Apple 讨论区 】
发信人: Inblue (Inblue), 信区: Apple
标 题: Re: 我今天听一个同事说他的iphone从未关过机
发信站: BBS 未名空间站 (Sat May 14 11:16:29 2011, 美东)
Yes u got it.
最近 Intel 22nm的transistor 都做出来了
一般来说 元件scale 越小 quantum fluctuation 就越大 这时候特容易产生tunneling
和 co-tunneling.
当这个22nm device用到手机上的时候 估计都不让带手机上飞机了 要不然tunneling信
号真的会强烈干扰通讯塔
特别是3月份日本核污染漂流全球 空气中射线加强 这时候电子特别容易被excited to
higher level 在excitation过程中产生的spin-orbit coupling 简直对于周围的电磁
环境就是一场灾难
w********e
发帖数: 8594
24
来自主题: Military版 - TG在吞噬半导体产业链
谁能大致讲讲楼主贴的新闻说些啥?专业不对口,看起来太费劲。
Advanced Micro-Fabrication Equipment Inc. Launches Second-Generation Etch
Tool for 22nm and Beyond Critical Process Requirements
Primo AD-RIE™ tool delivers optimal combination of technology
innovations and productivity
SAN FRANCISCO, July 11, 2011 -- This week at SEMICON West, Advanced Micro-
Fabrication Equipment Inc. (AMEC) will launch the Primo AD-RIE™ tool -
the company's second-generation 300mm very high frequency Advanced
Decoupled Reactive Ion Et... 阅读全帖
H*****l
发帖数: 1257
25
东西倒是真的。但是,在实验室里做出来单步工艺,和能做出来数十亿个每个都需要上
百步工艺的商用器件,差的太遥远了。文章里说的仍是22nm,但是intel是22nm已经出
现在大家的笔记本电脑里了,我们无论是设备还是工艺技术,都没法和国外相比,差的
太远。。。
f***y
发帖数: 4447
26
http://laoyaoba.com/ss6/html/08/n-568408.html
中芯国际为何率先量产28nm的骁龙410?
中芯国际是中国大陆最强的半导体代工企业,不过它在与台湾半导体代工厂和三星半导
体制造厂的竞争中一直都处于不利的地位。2014年在全球前五大半导体代 工厂中,中
芯国际和格罗方德是两家营收下滑的企业,而台积电、联电和三星的营收都是同比上升
的,其中一个原因正是中芯国际的工艺远远落后于另外四家企 业,28nm工艺量产拉近
了与全球第 二大代工厂联电的差距,对中芯国际无疑是强心剂。
为什么是28nm?
2013年中芯国际就宣布开发28nm工艺。28nm工艺有两个方向,一个是高介电常数金属闸
极(HKMG),一个是低功耗型的传统氮氧化硅(Sion),前一个技术难度要高很多。
HKMG技术是进入到28nm才开始引入的,使用这种技术可以能大幅减小栅极的漏电量,由
于high-k绝缘层的等效氧化物厚度(EOT:equivalent oxide thickness)较薄,这样晶
体管就能得到进一步的缩小,而管子的驱动能力也能得到有效的改善,因此28nm HKMG
技术... 阅读全帖
l*b
发帖数: 4369
27
来自主题: Military版 - 10纳米的光刻机国产了!
22nm直出的话,要刻10nm大概是曝光四次,overlay是一大挑战。基本上要把同一片
wafer四次放在同一个位置上,精确度应该是亚纳米级的。这种精度要考虑极多的因素。
另外说是22nm直出,CDU是多少有报道没?
z*m
发帖数: 3227
28
自动化与仪表 2018-10-29
1 、Applied Materials(应用材料)
应用材料公司是一家半导体和显示制造设备商,应用材料公司成立于1967年,2017财年
,应用材料公司营业额达到145亿美元,在17个国家设有90个分支机构,全球员员工人
数18400人。拥有超过11,900专利。
1984年,应用材料公司在北京设立了中国客服中心,成为第一家进入中国的国际芯片制
造设备公司。通过长期参与中国的高科技制造业,应用材料公司为半导体、先进显示以
及太阳能光伏制造行业提供设备与服务。
作为一家老牌的美国半导体设备商,应用材料(AMAT)是全球最大的半导体设备公司,
产品横跨CVD、 PVD、刻蚀、CMP、RTP等除光刻机外的几乎所有半导体设备。在全球晶
圆处理设备供应商中排名第一,应用材料市占率19%左右,其中,在PVD领域,应用材
料占据了近85%的市场份额,CVD占30%。
2、Lam Research(泛林)
LamResearch是刻蚀机设备领域龙头。Lam Research是向世界半导体产业提供晶圆制造
设备和服务的主要供应商之一。主要从事半导体生产设备、开发、制... 阅读全帖

发帖数: 1
29
14nm的英特尔芯片量产,22nm的芯片停产,22nm怎么赚钱?
f*l
发帖数: 22
30
楼上的既然已经提到finfet, wikipedia一把不就行了。随便买个50nm以下的DRAM,学过
EE, 准保懂其中的技巧。大哥花好几年的时间,好是好,非高价货(或垄断货)如CPU不
值。好多更便宜的办法在22nm以上还可救急。
好好注意细节:这个3D只是说MOFET上的transistor现在不再是平的了。因为source和
drain只有22nm,容易漏电。 只好把一条直线撤弯,总路程不就长了。20多年前MIT就
有非常精细的PhD 论文device. 只是太贵用于生产
f*l
发帖数: 22
31
楼上的既然已经提到finfet, wikipedia一把不就行了。随便买个50nm以下的DRAM,学过
EE, 准保懂其中的技巧。大哥花好几年的时间,好是好,非高价货(或垄断货)如CPU不
值。好多更便宜的办法在22nm以上还可救急。
好好注意细节:这个3D只是说MOFET上的transistor现在不再是平的了。因为source和
drain只有22nm,容易漏电。 只好把一条直线撤弯,总路程不就长了。20多年前MIT就
有非常精细的PhD 论文device. 只是太贵用于生产
f*l
发帖数: 22
32
楼上的既然已经提到finfet, wikipedia一把不就行了。随便买个50nm以下的DRAM,学过
EE, 准保
懂其中的技巧。大哥花好几年的时间,好是好,非高价货(或垄断货)如CPU不值。好多
更便宜的办法在
22nm以上还可救急。
好好注意细节:这个3D只是说MOFET上的transistor现在不再是平的了。因为source和
drain只有
22nm,容易漏电。 只好把一条直线撤弯,总路程不就长了。20多年前MIT就有非常精细
的PhD 论文
device. 只是太贵用于生产
s******v
发帖数: 4495
33
来自主题: Stock版 - INTC: 3D 芯片
Intel's New Tri-Gate Ivy Bridge Transistors: 9 Things You Need to Know
ARTICLE DATE: 05.04.11
By Matthew Murray
Intel announced today that its upcoming Ivy Bridge processing
platform, which will be based on a 22-nm version of its second-
generation Core (aka Sandy Bridge) microarchitecture, will also
utilize a new transistor technology called Tri-Gate.
The company says that Tri-Gate transistors, the first to be truly
three-dimensional, mark a major change in the way the industry has
done thing... 阅读全帖
b*****n
发帖数: 1492
34
来自主题: Stock版 - INTC: 3D 芯片
猪狗神太傻了。还扯什么“从硬件基本物理架构角度讲,能设计并做出商业化的3D架构
芯片很难”。 显然对industry 做事方式不了解。又不是大学,啥东西都要抢个先。公
司里的发明出于保密的考虑不发文章的多的是。 现在公布22nm, 说明:1.22nm已经量
产了。 2. 16nm取得决定性突破了。
把工艺尺度降下来的好处是省电 ,省面积。单个管子的性能能有什么提高?
t*******c
发帖数: 288
35
来自主题: LosAngeles版 - 半导体领域的核心竞争力
发现最近很多韩国人或者韩国雇佣的人天天在网上发三星的消息,感觉牛逼得一塌糊涂。
韩国人的自豪感直线上升,我想通过三星谈谈什么才是国家的核心竞争力。
先自我介绍一下,我2004年毕业,学的是工科,目前在上海张江工作,就是网上说的“
张江男”,我目前在一家半导体集成电路制造公司工作,有些人可能不知道半导体产业
,三星的核心技术就是这个半导体芯片制造,比如手机里的芯片,这些核心的元件就是
半导体制造厂造的。我从事的行业和三星电子的核心产业的制造领域一样,都是半导体
集成电路制造。
集成电路原理的我就不详细说了,各位可以百度一下。功能从手机芯片,到巡航导弹的
控制器,从民用到军用,是用途非常广泛的产业。
首先,作为同行,我非常尊重三星电子,三星电子的利润排名全球第二,仅次于英特尔。
在核心工艺及成品率领域,三星也是在业内领先,可以说是规模最大的半导体生产制造
公司。
如果说国观发帖的韩国人说三星电子比中国同行强,或者说在半导体生产领域韩国领先
中国,这无可厚非,各位也不要骂他,这是事实。如果国观发帖的韩国人通过三星想证
明韩国人比中国人优秀,甚至高中国人一等,我就只能说这是小国寡民的夜郎自大了... 阅读全帖
a***e
发帖数: 27968
36
多新鲜啊,32按业界的说法是full node
45*0.7=32,intel正在全面量产i7,i5,i3一堆32nm
28nm是所谓的half node,就像TSMC玩45nm,然后现在玩40nm half node.
ibm的focus是量产32nm,开发28nm是tsmc等foundry的要求,跟32nm区别不大
下一步是22nm 不过22nm也就是明年,15nm也就是2014,再往下就真到极限了
不过2012也到了,不在乎了
I****e
发帖数: 7742
37
Yes u got it.
最近 Intel 22nm的transistor 都做出来了
一般来说 元件scale 越小 quantum fluctuation 就越大 这时候特容易产生tunneling
和 co-tunneling.
当这个22nm device用到手机上的时候 估计都不让带手机上飞机了 要不然tunneling信
号真的会强烈干扰通讯塔
特别是3月份日本核污染漂流全球 空气中射线加强 这时候电子特别容易被excited to
higher level 在excitation过程中产生的spin-orbit coupling 简直对于周围的电磁
环境就是一场灾难
c**i
发帖数: 6973
38
来自主题: Hardware版 - TSMC to Commercailly produce 28nm Q411
Anton Shilov, TSMC Reaffirms Plans to Ship 28nm Chips Commercially in Q4;
TSMC: 2% - 3% of Q4 2011 Revenue Will Be for 28nm Products. Xbit
Laboratories, Feb 1, 2011.
http://www.xbitlabs.com/news/other/display/2011020
1212245_TSMC_Reaffirms_Plans_to_Ship_28nm_Chips_Commercially_in_Q4.html
Note:
(a) Cade Metz, AMD, GlobalFoundries, and the Intel Gap Not Closing. The
Register, July 30, 2010.
http://www.theregister.co.uk/2010/07/30/global_
foundries_on_32nm_chip_manufacturing_process/
("Intel introd... 阅读全帖
n**l
发帖数: 2754
39
来自主题: Hardware版 - 3770k初步测试结果
IB只是32nm到22nm的shrink,并没有大的架构改变。performance/Walt大概有15-20%的
提升,TDP的降低应该更有利于超频。如果比SB不是贵太多的话,还是不错的。
另外客普一下吧,3770K(Ivy Bridge)是2600k/2700k(Sandy Bridge)的后续版本,用的是22nm
FinFet的工艺。基本变化有诸如77W TDP,HD4000 graphic和native usb 3.0支持。
1 2 3 4 5 6 7 8 下页 末页 (共8页)