由买买提看人间百态

topics

全部话题 - 话题: hznz
首页 上页 1 2 3 4 5 6 7 8 9 10 下页 末页 (共10页)
h**z
发帖数: 9751
1
【 以下文字转载自 Texas 讨论区 】
发信人: hznz (hznz), 信区: Texas
标 题: 开皮卡的小墨女被警察追不停车撞死人了
发信站: BBS 未名空间站 (Wed Aug 7 01:39:53 2013, 美东)
http://www.myfoxhouston.com/story/23063716/2013/08/06/poilce-ch
http://www.khou.com/news/local/Westheimer-chase-ends-in-fatal-c
版上今天早上沿westheimer进城上班肯定都在eldridge这个路口被堵了很久。原因是早
上7点左右,有个开道奇皮卡的18岁的劳模女在westheimer和Gessner附近就开始被警察
追,向西逃跑,先在kirkwood撞了一辆老acura tl的屁股,后来在eldridge撞了一个
mazda 3,又撞一个impala,结果造成impala司机身亡,自己最后又撞到一个运水泥的
卡车上才停。
妈的从gessner跑到eldridge这距离可不短了。
这个社会垃圾去年就有持大麻,破坏公物,逃... 阅读全帖
g********d
发帖数: 19244
2
☆─────────────────────────────────────☆
THR (???) 于 (Tue Jun 4 10:59:58 2013, 美东) 提到:
本来想买MDX,但是老婆在一个小公司工作,同事领导除了一个老中小弟弟开C300,剩
下都是很一般的车,老婆就觉得开MDX有点不太好,劝了也听不进,反正是她开,就随
她。
不选MDX,剩下的我们这对WSN/V就只有PILOT/HIGHLANDER选了。两个车都试开了,
HIGHLANDER比PILOT小,低。但是LOCAL的TOYOTA DEALER很拽,价格给的比PILOT高,我
们就没有选择了。
买来开了几个月了,上个月刚从CHICAGO开到FLORIDA度了10天假,感觉还可以。
总结一下PILOT的吧:
1。都是标配,价格没有水分,讲价很简单,基本算同级别里最便宜的了。LX 4驱,连税
不要3万(现在应该更低了),这个对家里条件一般,有两三个孩子,把车主要当成一
个运输工具的父母应该还是有吸引力的。特别是后视CAMERA在低配置车型里也是标配,
这个2013HIGHLANDER低款就没有。这个对比较... 阅读全帖
g********d
发帖数: 19244
3
来自主题: Automobile版 - [合集] 想装人多还是minivan/van更好
☆─────────────────────────────────────☆
Decon (Decon) 于 (Thu May 30 14:32:46 2013, 美东) 提到:
经常看到有人发问要买7座SUV,但是只字不提任何有关off-road的事,但是总是想要第
三排大空间。这种情况显然应该买MINIVAN/VAN啊。
1)Minivan的空间显然要比同级的SUV大,随便一个Minivan拉出来后排空间都比
Suburban之类的大,更比什么full-size SUV 大1/3。
2)Minivan而且要来的便宜和省油,而且在公路上7座minivan要坐着比7座SUV舒适。
3)minivan实际上开起来比7座的SUV灵活,我开过朋友的full-size suv,起步慢的要
死,没看出来有啥优势。
4) 如果不考虑Off-road之类,minivan的多用途显然要远超SUV。MINIVAN还有一个特别
重要的优点,能装下4x8的panel,家里稍微搞过remodel的都知道这个特点有多有用。
7座SUV比起MINIVAN主要的好处无非两个,一个是离地距离高有一定的of... 阅读全帖
g********d
发帖数: 19244
4
来自主题: Automobile版 - [合集] 毛子真狠!让藏獒跟老虎斗
☆─────────────────────────────────────☆
ElectricBro (Peace de Wars) 于 (Thu May 23 21:05:39 2013, 美东) 提到:
藏獒真有ball,直接扑上去,老虎一巴掌把藏獒打得找不着北了
老虎真的太牛逼了
狮子只能扑这一招,老虎有三招:扑,抓和甩
☆─────────────────────────────────────☆
beetle1986 (beetle) 于 (Thu May 23 21:23:24 2013, 美东) 提到:
狗咬老虎叫sb,不叫有ball

☆─────────────────────────────────────☆
UAA836 (未知) 于 (Thu May 23 21:31:00 2013, 美东) 提到:
我看过个视频, 有人牵条小藏獒去公园的老虎笼前, 小藏獒冲着老虎使劲叫, 别的
大狼狗夹着尾巴没一个敢吭声的.
☆─────────────────────────────────────☆
zpko123 (静芬) 于... 阅读全帖
g********d
发帖数: 19244
5
☆─────────────────────────────────────☆
felixcat (felixcat) 于 (Thu Jun 6 15:06:09 2013, 美东) 提到:
凯迪拉克ATS这车子面世已经有一段时间了,租车公司反应很快。我这回在Hertz就是拿
了辆2013 ATS 3.6 Luxury Trim。开始以为Hertz不会买最高配的发动机的版本,结果
去到车旁边一下子就看到车尾上那个3.6的标志和两个巨大的不锈钢排气孔,小意外了
一下。
拿到的这辆ATS虽然是3.6 Luxury Trim里的标配,但是主要该有的功能都有了。我粗略
看了一下欠缺的只有雾灯、天窗、电热椅。下面简要评价一下这车子的使用感受。
☆─────────────────────────────────────☆
felixcat (felixcat) 于 (Thu Jun 6 15:07:17 2013, 美东) 提到:
特别喜欢这个竖直前灯的设计,很气派也很有高档的感觉。
☆─────────────────────────────────────☆
f... 阅读全帖
g********d
发帖数: 19244
6
☆─────────────────────────────────────☆
felixcat (快成业余律师了) 于 (Mon Jun 24 15:24:50 2013, 美东) 提到:
我还没有试开过2014款。下面这些都是纸面数据上的比较,全部来源于Acura官网,假
如你觉得数据不对请跟Acura联系,我不打包票。
车体框架抗扭刚度,2014款比2013款提高了12.4%;
2014款的前后悬挂的防倾杆都比2013款要粗;
2014款方向盘的是电动助力,而2013款的是液压助力;
2014款转向系统steering ratio比2013款要大幅度减小,换句话说就是2014款方向盘转
小一点角度,就能达到跟2013款一样的拐弯效果;
2014款前后轮的刹车盘尺寸比2013款的要小一些(这个可以理解,毕竟车子轻了300多
磅)
变速器各档齿比和终传比没有变化,新款和旧款的一样;
车子前后重量分配,2014款车头更重。2014四驱版前/后的比例是58/42,前驱是60/40
(这个我觉得Acura官网上面的刚好写反了,哪有前驱版车头比四驱版更重的道理);
而2013这个比... 阅读全帖
i****x
发帖数: 17565
7
跟我念:顺手打擦边球的人烂鸡鸡,顺手打擦边球的人烂鸡鸡,顺手打擦边球的人烂鸡
鸡,顺手打擦边球的人烂鸡鸡
发信人: hznz (hznz), 信区: Automobile
标 题: Re: mini countryman cooper s 烧机油吗?多久加一次比较好
发信站: BBS 未名空间站 (Thu Oct 31 16:45:44 2013, 美东)
开本田s2000的很有经验,赞一个
i****x
发帖数: 17565
8
跟我念:顺手打擦边球的人烂鸡鸡,顺手打擦边球的人烂鸡鸡,顺手打擦边球的人烂鸡
鸡,顺手打擦边球的人烂鸡鸡
发信人: hznz (hznz), 信区: Automobile
标 题: Re: mini countryman cooper s 烧机油吗?多久加一次比较好
发信站: BBS 未名空间站 (Thu Oct 31 16:45:44 2013, 美东)
开本田s2000的很有经验,赞一个
s*****g
发帖数: 3693
9
来自主题: Automobile版 - 不再推荐Subaru了
不要脸才是德托第一要点
发信人: hznz (hznz), 信区: Automobile
标 题: Re: 今天是抗日战争胜利纪念日
发信站: BBS 未名空间站 (Fri Aug 16 13:04:32 2013, 美东)
你不怪/jzm这位大爷天天用“同为黄种人”为自己的亲日媚日心态打幌子
不过,日本人愿意跟你不怪“同为黄种人”么?
g********d
发帖数: 19244
10
☆─────────────────────────────────────☆
ilovewc3 (Kobe) 于 (Thu Jul 18 22:02:57 2013, 美东) 提到:
2005 BMW 325ci. 73000 miles. engine light, brake light & ABS(就是那个3角形)
的灯都亮了.
今天拿去Palo Alto Bimmer修, 把车drop off后去旁边的咖啡店等. 5个小时后, 修车
老板电话告诉我要换fuel tank, front brake pad, front brake rotors, brake,
sensors, caps.
以上parts加起来488. labor:178 diagnositic $250, 总共加完税$970.
Ok,心理预期内.忍了. 结果,过了2个小时,老板告诉我也许我的ABS control需要整个
换了.
parts就要$1,300, 人工$600!!!
我tmd当时就想你修engine light brake light那些问题时怎么没想到ABS control?... 阅读全帖
g********d
发帖数: 19244
11
☆─────────────────────────────────────☆
felixcat (好戏才刚开始) 于 (Sun Sep 8 11:22:03 2013, 美东) 提到:
这是在小机场拍的,假如在SFO这类国际机场,选择会更多并且价格会更便宜。
Hertz随便看到的一排车子里,就有3辆保时捷Panamera和2辆911,这几辆都不是标配裸
车,价格我问了一下大概$80一天,但是需要提前打电话到这个机场的租车点来make
reservation。至于类似E350 sedan或者conv那更加是大路货,我数了一下,这家Hertz
的inventory里面E350的数量比现代Sonata还要多。
看来假如我以后私人买车,基本上不会碰这类rental-car-grade的型号了。
(未完待续)
☆─────────────────────────────────────☆
felixcat (好戏才刚开始) 于 (Sun Sep 8 11:24:56 2013, 美东) 提到:
上面那几辆保时捷和奔驰是跟凯迪拉克SRX和道奇Challenger放在同一排... 阅读全帖
h**z
发帖数: 9751
12
来自主题: Automobile版 - 新GLK350 撞车
lol,说猫猫,猫猫到。这货看见奔驰撞车就跳出来恶心人了,当年跟小甜甜和电哥接
上梁子都是这个吧
发信人: hznz (hznz), 信区: Automobile
标 题: Re: 新GLK350 撞车
发信站: BBS 未名空间站 (Thu Jan 23 16:09:26 2014, 美东)
奔驰车一撞就有
——肥力猫
g********d
发帖数: 19244
13
来自主题: Automobile版 - 能给版主们提个建议吗?

以下主题今晚删除,顺表拉出来看看这种帖都是谁发的
标题含有 德托或美托
1 MrSingleton Oct 23 德托日托德黑日黑,考验你们的时候到了。
2 HarvardThief Oct 26 【卖】100包卖个帐号,想掐死小喵的德
托请购买
3 IlIllIlI Oct 30 你们德托太懒惰! 奔驰中国新总裁严斥经
销商
4 MrSingleton Nov 9 请问班上德托一个问题
5 ANewDay Nov 16 日托德托美托都是2B,大家对号入座。
6 theislander3 Dec 9 我提议:每月15号为日托德托交换阵地日
7 theislander3 Dec 11 借车托之家上市之喜 德托说说这周修了
几天车
标题含有日托
1 jamesgordon Oct 16 不太... 阅读全帖
h**z
发帖数: 9751
14
发信人: hznz (hznz), 信区: Automobile
标 题: Re: 被Audi这四驱的广告吓尿了
发信站: BBS 未名空间站 (Sun Jan 26 21:05:00 2014, 美东)
你这山寨视频,仅仅是说明了torsen c不带锁的时候的劣势,就是如果摩擦情况超过了
torsen c的控制范围(前最大60%,后最大80%,这还跟发动机的输出有),torsen本身
就无可奈何。
但是torsen顾名思义torque sensing,它感觉的是扭矩差,而不是速度差,有扭矩的变
化的时候,它就开始主动转移扭矩,只要可以锁止的范围,打滑不会发生!别的系统,
包括连差速器都没有的sh-awd,只有知道速度差已经发生,才开始被动调节扭矩。
torsen的主动系统的反应速度比被动系统快得多得多。
你的sh-awd,没有中差,后轮跟前轮独立,所以不会发生爬坡时候前轮打滑,动力全部
跑前轮的情况,电子系统调节减小前轮输出,增加后轮输出自然就不滑了。
公路和雪地飞奔,自然是torsen最爽,防滑于未然。但如果开始打滑,不带中差锁就惨
了。所以torsen+锁的lx570,最牛鼻。... 阅读全帖
h**z
发帖数: 9751
15
来自主题: Automobile版 - vw 把车开到 10w 就算nb了 太差了
你out了,昨天这篇没证据没逻辑的酸文已经被贴过
发信人: hznz (hznz), 信区: Automobile
标 题: Re: 黑奥迪的都说说哪些车型不行
发信站: BBS 未名空间站 (Mon Feb 3 17:22:22 2014, 美东)
re个毛,这篇气急败坏的骂街软文比肥力猫水平都差远了,泛着一股浓浓的酸文,没有
任何干货证据,逻辑一塌糊涂,难道就是英文就觉得高大上?

of
having
h**z
发帖数: 9751
16
奇怪,昨天这篇没证据没逻辑的酸文已经被抽过,日托怎么又当作宝贝供出来。了,难
道是因为洋大人的E文就比肥力猫还好使
发信人: hznz (hznz), 信区: Automobile
标 题: Re: 黑奥迪的都说说哪些车型不行
发信站: BBS 未名空间站 (Mon Feb 3 17:22:22 2014, 美东)
re个毛,这篇气急败坏的骂街软文比肥力猫水平都差远了,泛着一股浓浓的酸文,没有
任何干货证据,逻辑一塌糊涂,难道就是英文就觉得高大上?
f******t
发帖数: 7283
17
来自主题: Automobile版 - 作为中立人士,我观察到
不要岔开话题,我这里是委托你帮我投简历,你为何老是回避我这个请求呢?
--------------------------------------------------
发信人: hznz (hznz), 信区: Automobile
标 题: Re: 作为中立人士,我观察到
发信站: BBS 未名空间站 (Wed Feb 5 16:22:29 2014, 美东)
你家的阳光蛋正在发骚,你快帮我想办法,上次的狼牙棒降低了它的敏感度,不太好使
了。
m********2
发帖数: 3732
18
来自主题: Automobile版 - 作为中立人士,我观察到
foxbat是不是造谣你也没回答,别不敢打自己人脸啊。
发信人: hznz (hznz), 信区: Automobile
标  题: Re: 作为中立人士,我观察到
发信站: BBS 未名空间站 (Wed Feb  5 23:03:33 2014, 美东)
你赶快找个人站出来说他的02本田变速箱没事儿,我现在就说foxbat造谣
目前我见过的10年以上本田都挂过,无一例外
g********d
发帖数: 19244
19
来自主题: Automobile版 - [合集] audi神车换Timing Belt
☆─────────────────────────────────────☆
sumedonkey (donkey) 于 (Tue Oct 15 14:00:56 2013, 美东) 提到:
好久以前的,看有人贴大众的,贴出来玩玩。I4空间比V6大一点,不过还是把前脸全拆
了。DIYer共勉。。
☆─────────────────────────────────────☆
zpko123 (静芬) 于 (Tue Oct 15 14:02:19 2013, 美东) 提到:
整张图,就认识底下那个饭盒。
☆─────────────────────────────────────☆
fishbed (fishbed) 于 (Tue Oct 15 14:06:38 2013, 美东) 提到:
呵呵,还是个粉木耳,茉莉花见到了要嫉妒死。

☆─────────────────────────────────────☆
bds16 (song) 于 (Tue Oct 15 23:24:38 2013, 美东) 提到:
换车比换这个便宜吧
☆──────... 阅读全帖
f******t
发帖数: 7283
20
来自主题: Automobile版 - 日本车用的金属材料质量太差
我觉得你还是用回你的真身hznz来发帖好一些吧。不然每次都是彩虹哥的狐朋狗友,扭
扭捏捏注册个马甲来替主子洗地,弄得生怕用真身来发帖就会玷污了真身ID似的,这更
显得是此地无银三百两。
我说的对吗,hznz?
h**z
发帖数: 9751
21
【 以下文字转载自 Complain 讨论区 】
发信人: hznz (hznz), 信区: Complain
标 题: Re: 反投诉,收到一封污蔑我人身攻击的投诉处理信
发信站: BBS 未名空间站 (Tue Feb 25 17:14:45 2014, 美东)
案情扑朔迷离,本客观中立观察ID来解释一下
taigonghei根据种种线索认为,laoselang就是他原来的好集邮taigong,laoselang对
此矢口否认。
laoselang根据种种线索认为,laoselanghei/laoselang变形虫就是它的天敌
taigonghei,taigonghei对此也否认。
现在laoselang投诉laoselanghei的PA行为到taigonghei头上,taigonghei进行举报。
g********d
发帖数: 19244
22
☆─────────────────────────────────────☆
wutongtian (梧桐天) 于 (Tue Nov 5 10:16:53 2013, 美东) 提到:
啊啊啊啊啊啊啊!气死我了,他要买accord我又不会拦着他,我要买大众的他凭什么到
处挑刺说这个不好那个也不好!气死我了气死我了,大家快帮我想点理由说回去吧!
他的理由是:
1,大街上开日本车的最多,尤其civic和accord最多。
2,找了一大堆网上的评价打分,说accord得分比我选的大众车们都高。
3,accord开几年卖的时候比较好卖。
4,德国车里面很贵的宝马奔驰才好,要买德国车就得买好的,我budget没有那么高。
我的理由:
我喜欢大众车,我周围几个好朋友买的都是大众我觉得挺好的。我不喜欢日本,不要买
日本车。
最气人的就是我每次看好一个车型他就找一大堆这种车的缺点来一天到晚在我耳朵边上
念念念!
☆─────────────────────────────────────☆
jamesgordon (高登大爷) 于 (Tue Nov 5 10:20:04 2013... 阅读全帖
m********2
发帖数: 3732
23
来自主题: Automobile版 - 作为中立人士,我惊奇的发现
某些id还真是不要脸。
【 以下文字转载自 Complain 讨论区 】
发信人: hznz (hznz), 信区: Complain
标 题: Re: 反投诉,收到一封污蔑我人身攻击的投诉处理信
发信站: BBS 未名空间站 (Tue Feb 25 17:14:45 2014, 美东)
案情扑朔迷离,本客观中立观察ID来解释一下
m********2
发帖数: 3732
24
来自主题: Automobile版 - 作为中立人士,我惊奇的发现
某些id还真是不要脸。
【 以下文字转载自 Complain 讨论区 】
发信人: hznz (hznz), 信区: Complain
标 题: Re: 反投诉,收到一封污蔑我人身攻击的投诉处理信
发信站: BBS 未名空间站 (Tue Feb 25 17:14:45 2014, 美东)
案情扑朔迷离,本客观中立观察ID来解释一下
b*****t
发帖数: 840
25
来自主题: Automobile版 - Volvo XC90 真的不错
你这人脑袋估计和fishbed一样,比嘴巴小
一前一后两帖子自己抽自己脸,速度之快让人惊叹
赶紧去拿这两段话找妈妈看看,哪里错了
you made my day lol

【 在 hznz (hznz) 的大作中提到: 】
tmd
: 比别人是良心企业,傻缺才会把良心和车厂挂钩。
g********d
发帖数: 19244
26
☆─────────────────────────────────────☆
theislander3 (Peace de Wars) 于 (Thu Dec 19 22:14:17 2013, 美东) 提到:
外观更具家族特性,细节修改让车整体更漂亮
内饰设计比上一代更加driver-oriented,非常喜欢中控向driver转有个角度的设计,
内饰材料和做工比同级的Focus好很多
开了1.4TSI的手动和自动,这个发动机潜力很大,旗舰版的是高功率调校,动力差别还
是挺明显的。5速手动变速箱跟老款的没有什么区别,算不上手感最好的,不如日系的
几个Civic Si, Impreza WRX和Lancer,而且行程有点长。
刹车很好,比Focus要smooth,比日系同级刹车都好很多。
关于悬挂,虽然后悬挂变成了扭力梁,但是不上track的话日常驾驶大多数司机感觉不
到什么区别,如果在乎操控的就等GTI和R出来。
总结就是,有更好的refinement但没有质的改变,是个很好的买菜通勤车,但完全不失
fun driving运动元素。应该比Golf 6成本更低,大众借此像低端... 阅读全帖
g********d
发帖数: 19244
27
☆─────────────────────────────────────☆
felixcat (好戏才刚开始) 于 (Mon Dec 23 12:25:36 2013, 美东) 提到:
临近节日有的人路上开车很燥。我同事上个星期在一个十字路口被一辆冲红灯的F150给
撞了,撞在车左侧。据警察后来出的现场调查报告里,冲红灯的F150的时速大概有
50mph。可恶的是F150车主没保险......
同事开的GS350基本上可以算total了,人倒是没事,只是脖子有点酸。他的GS是2008款
,当年就是我坐过他的车子之后才决定买同样的车子,现在就这么给报废了真是让人感
叹。幸亏同事自己买了uninsured motorist的option,保险公司的人去检查车子之后给
了两个选择,一个当然是total,赔两万八;另一个是保险给一万九作为费用上限,自
己搞定修车,也就是说同事拿一万八现金,车子自己处置,保险公司不再管这车子。
同事他自己打了下小算盘,觉得自己拿一万八,然后再把车子拆了卖零件卖发动机之类
的,能比total赚得多。我刚好认识一个修车的熟人,周末就叫了AAA把车子拖到... 阅读全帖
g********d
发帖数: 19244
28
☆─────────────────────────────────────☆
shorea (未注册用户) 于 (Wed Jan 15 00:10:55 2014, 美东) 提到:
能买德国车,买德国车去吧。
不久前刚买了个福特escape 2014,因为看各大网站上评价都非常高,价钱也便宜。后
来就到处打电话问价,问到一家最低的,就去了。因为白天上班,只有下了班那一会儿
去,又急着回家;心想新车也就大概看看就成了(现在想想真后悔没多试几次)。先试
了一个车,然后再去选了颜色,看好一个颜色后使劲砍价(已经不是原来试过的那一辆
了),砍到后来dealer不干,我们就回家了。第二天打电话来说,这个价可以。于是晚
上又去。因为还是急着回家,所以又是稍稍试驾了一下,一通谈判误会再谈判,当晚就
把车开回去了。
于是噩梦来了。开回去的当天就觉得,这车减震好像有点问题,开回到家里觉得浑身颠
得难受,心想也许是错觉。好几次之后发现,好像真是颠得有点厉害,感觉还不如我的
破烤肉平稳(我觉得SUV应该是比小车的减震要好的吧,不知道是不是)。我之前也试
驾过、乘坐过朋友的escape 2012,... 阅读全帖
g********d
发帖数: 19244
29
来自主题: Automobile版 - [合集] 入手Passat
☆─────────────────────────────────────☆
aerolite (纽约司机在加州) 于 (Mon Jan 27 08:39:48 2014, 美东) 提到:
刚入手 2014 PASSAT 1.8L S, 是最低配的, Turbo4缸发动机,out the door价格是
20775(购买地点是湾区San Jose),个人情况是刚毕业的学生。用的financing APR比
较高,大约5.7%,所以准备尽快还上。基本没做太多研究,之前发mail询问价格,
dealer说有一台on sale的最低out door price $21025,周六上午去看了看还算满意,讨
价还价之后砍下¥250, 就入手了,有点太急了,不过也不想耽误太多时间,这是我的第
一辆新车。总体感觉内饰比较精致,外观简单大方,空间很大,坐在里面比Camry感觉要
好些,驾驶起来感觉跟Camry差不多吧,没去看过Accord,但是感觉Accord可能跟
Passat风格有点相似。Passat维修和保养贵可能是个弱点,不过以后再说吧,发几张图给
大家看一下。
☆────────... 阅读全帖
m********2
发帖数: 3732
30
来自主题: Automobile版 - laoselang出大事了!
没错,你是最后一个被放出来的。
标 题: girlfriend 封 csee 在 Automobile 版
发信站: BBS 未名空间站自动发信系统 (Sat Apr 5 17:25:54 2014)
【此篇文章是由自动发信系统所张贴】
由于 csee 在 Automobile 版的 人身攻击 行为,
被暂时取消在本版的发文权力 5 天。
标 题: girlfriend 封 mitbbts 在 Automobile 版
发信站: BBS 未名空间站自动发信系统 (Sun Apr 27 14:53:42 2014)
【此篇文章是由自动发信系统所张贴】
由于 mitbbts 在 Automobile 版的 人身攻击 行为,
被暂时取消在本版的发文权力 4 天。
标 题: girlfriend 封 foxbat 在 Automobile 版
发信站: BBS 未名空间站自动发信系统 (Mon Mar 3 11:34:24 2014)
【此篇文章是由自动发信系统所张贴】
由于 foxbat 在 Automobile 版的 人身攻击*2 行为,
被暂时取消在本版的发文权力 7 天。
标... 阅读全帖
h**z
发帖数: 9751
31
来自主题: Automobile版 - Xc90 价钱出来了 T6 AWD $48,900
本托水平怎么样,哈哈。
发信人: hznz (hznz), 信区: Automobile
标 题: 版主搞个XC90 MSRP竞猜吧
发信站: BBS 未名空间站 (Tue Aug 19 12:16:56 2014, 美东)
这车关注度这么高
我说裸车4.89万起,表配5.45万。
h**z
发帖数: 9751
32
李书福给本托了一个大面子,本托要支持国货了。
在msn auto上浏览了一下最近10几年类似车型的定价得出的结论,蒙对了,哈哈。
发信人: hznz (hznz), 信区: Automobile
标 题: 版主搞个XC90 MSRP竞猜吧
发信站: BBS 未名空间站 (Tue Aug 19 12:16:56 2014, 美东)
这车关注度这么高
我说裸车4.89万起,表配5.45万。
发信人: inet (inet), 信区: Automobile
标 题: Xc90 价钱出来了 T6 AWD $48,900
发信站: BBS 未名空间站 (Tue Aug 26 10:39:12 2014, 美东)
Full details on the pricing will be announced at a later date, but a well-
equipped XC90 T6 AWD will have a starting price of $48,900. The XC90 T6 AWD
will come standard with such luxurious fea... 阅读全帖
I******I
发帖数: 14241
33
一个是well equipped T6 48900,hznz这个喷粪的猜的是裸车48900,差得有点远,别
人跟着评论两句都不行?
再说了volvo上市跟日车有什么关系?8楼之前这贴里就没人提到过日车。
hznz这种出口成脏的烂人就是车版变成现在这个风气的原因。
s*****g
发帖数: 3693
34
来自主题: Automobile版 - 雷克萨斯NX销量不错
还说别人脸被抽肿了
这货脸皮早被抽没了。
你信不信这货如果有脸进这帖干得第一件事就是骂街?
发信人: hznz (hznz), 信区: Automobile
标 题: Re: Lexus NX将成为贴牌"豪华"车中最丑陋的车
发信站: BBS 未名空间站 (Tue Oct 28 14:45:55 2014, 美东)
发信人: felixcat (Cirrus Logic), 信区: Automobile
标 题: Re: 奔驰官网可以build 2015 GLA Class了
发信站: BBS 未名空间站 (Tue Jul 29 12:10:24 2014, 美东)
“基佬啊”终于有价格啦?不过这车铁定是竞争不过Lexus NX的。
个把月前的豪言,LOL,费力猫有多悲愤。。。
那个脑残日托赶快去买辆摁插啊,费力猫的老脸都让跺肿了
s*****g
发帖数: 3693
35
来自主题: Automobile版 - 雷克萨斯NX销量不错
还说别人脸被抽肿了
这货脸皮早被抽没了。
你信不信这货如果有脸进这帖干得第一件事就是骂街?
发信人: hznz (hznz), 信区: Automobile
标 题: Re: Lexus NX将成为贴牌"豪华"车中最丑陋的车
发信站: BBS 未名空间站 (Tue Oct 28 14:45:55 2014, 美东)
发信人: felixcat (Cirrus Logic), 信区: Automobile
标 题: Re: 奔驰官网可以build 2015 GLA Class了
发信站: BBS 未名空间站 (Tue Jul 29 12:10:24 2014, 美东)
“基佬啊”终于有价格啦?不过这车铁定是竞争不过Lexus NX的。
个把月前的豪言,LOL,费力猫有多悲愤。。。
那个脑残日托赶快去买辆摁插啊,费力猫的老脸都让跺肿了
M****u
发帖数: 17708
36
来自主题: Automobile版 - 两口子带个2岁娃从德州开到加州
停了干啥?又不是前面没有加油站
你从休斯顿干到sonora,怎么没在SA加油啊?还谆谆教诲楼主一定要在SA加满油再走,
不然加不上了,哈哈
-------
你怎么删了呢?
发信人: hznz (hznz), 信区: Automobile
标 题: Re: 两口子带个2岁娃从德州开到加州
发信站: BBS 未名空间站 (Wed Feb 11 17:41:30 2015, 美东)
闹了半天你丫没停过,还跟这儿呲牛逼说10号上加油站随便找。老子直接从休斯顿干到
sonora中间没停,才下来加油,你自己查查多少mile。
h**z
发帖数: 9751
37
来自主题: Automobile版 - XC90 review 大结论
作为半年前精确预测xc 90车价的第一人,本人认为xc90的定价是十分合理的,定位也
是略低于德国3大,压根不以日本2大为参考,处于他们之间。其实德国3大和日本2大在
suv里面,头碰头的车型很少。
http://www.mitbbs.com/article/Automobile/34641165_3.html
发信人: hznz (hznz), 信区: Automobile
标 题: 版主搞个XC90 MSRP竞猜吧
发信站: BBS 未名空间站 (Tue Aug 19 12:16:56 2014, 美东)
这车关注度这么高
我说裸车4.89万起,表配5.45万。
发信人: inet (inet), 信区: Automobile
标 题: Xc90 价钱出来了 T6 AWD $48,900
发信站: BBS 未名空间站 (Tue Aug 26 10:39:12 2014, 美东)
Full details on the pricing will be announced at a later date, but a well-
equipped XC90 T6 AWD will ... 阅读全帖
f*********5
发帖数: 114
38
来自主题: Automobile版 - 其实斯巴鲁的最大卖点是
住城市也可以周末去沙滩,4*4 camping或者玩Kayak,冬天要去滑雪。F150确实也有这
些优点,但是住城市的很少人买皮卡。
[在 hznz (hznz) 的大作中提到:]
:住城市里纸上谈兵,下过trail么?底下没有skid plate,配公路胎,还敢不在乎?
:你说的优点,其实是老美truck的真正优点,F150永远销量第一,而四八如一年就卖那
:...........
f****t
发帖数: 15913
39
【 以下文字转载自 TopArticle 讨论区 】
发信人: hznz (hznz), 信区: Automobile
标 题: 只要有人贴买车经验那个XJL99就来兜售私货冷嘲热讽
发信站: BBS 未名空间站 (Fri Sep 6 17:52:01 2013, 美东)
故意把水搅浑,然后大帽子一扣开始兜售私货“老中有时候会犯这个错误,比来比去的
其实好价钱错过了”。
一家说了现金价otd19600,另一家说现金价otd17800,那个卖本田的车托店小二xjl99
居然说让去第一家。这不是侮辱人智商的么?
f*********y
发帖数: 164
40
来自主题: Automobile版 - 8/2封人贴...........
班长你真八卦


:【 在 hznz (hznz) 的大作中提到: 】
f*********y
发帖数: 164
41
天天灌水,被车行开了吧


:【 在 hznz (hznz) 的大作中提到: 】
X***9
发帖数: 7385
42
来自主题: Automobile版 - 这不会是 BIG X 吧?!

你说chase保底,保啥了?
发信人: hznz (hznz), 信区: Automobile
标 题: Re: 人自己挣钱想怎么花轮不到XJL99说三道四
发信站: BBS 未名空间站 (Wed Aug 5 21:08:12 2015, 美东)
老子要骂人了,傻逼要点脸不行?
chase算是比较差的rate了,算是保底的。
现在去chase,有checking account的
48 Months 1.86%
60 Months 1.97%
72 Months 2.54%
没checking account的
2.36% 2.47% 3.04%
那人770分,有还贷记录。
4.4%??傻逼,高还是低?
f******t
发帖数: 7283
43
来自主题: Automobile版 - 丰田四颗牙做家用大妈SUV好不好
hznz的话只用看逗号前第一句就可以。后面一句通常就是列举出各种exception,撇清
关系。就好比说hznz卖你一份医疗保险,但下一句接着写“假如你生病了,这个医疗保
险不保”。
f****l
发帖数: 5514
44
来自主题: Automobile版 - LEXUS几时成了豪华车?
3 series可怜的后排空间... ...后排空间小的还能叫豪车吗?
[在 hznz (hznz) 的大作中提到:]
:RX350上的太监AWD,其实99.99%的时间就是FWD。自愿科普,不用谢。
:ES350那价钱,335都买不来,更可不能买到比335大的bmw,不够装B
:...........
f****l
发帖数: 5514
45
来自主题: Automobile版 - LEXUS几时成了豪华车?
同样等级的也要看看性价比的,比如迈巴赫够好了吧,为啥卖得不好,就是性价比低了
。旗舰Sedan的价格方面是 MB S > BMW 7 = AUDI A8 > LEXUS LS的
[在 hznz (hznz) 的大作中提到:]
:你说的很不错,那个人说,宝马有比ES便宜的,人还买贵的ES,所以说明ES比宝马好
,我举3的例子就是说,同样大小的车,宝马可比ES贵,所以它的比法不对,属于田忌赛
:马。
:...........
f****l
发帖数: 5514
46
来自主题: Automobile版 - LEXUS几时成了豪华车?
现在是Avalon based的,后排空间比Camry多了不少, 价格其实比高配的Avalon高不了
多少. 各种luxury feature都不少, 父母老人后排坐得舒服,怎么会卖的不好呢?
[在 hznz (hznz) 的大作中提到:]
:你说的不错,ES属于德系里面没有同级的产品,因为它就是camry V6,走销量支撑
:lexus品牌利润的车型
:...........
a******o
发帖数: 16625
47
来自主题: Automobile版 - 科普一下合成油,什么车用合成油
hznz的姥姥和皇军谈笑风生
之后hznz的妈妈也去了日本。我在新宿后面大久保街头还照顾过她的生意。
H君,你老母辛苦了。
g********d
发帖数: 19244
48
来自主题: Automobile版 - 2015年9月和10月水枪奖
2015年9月Automobile版共18014贴
排名 ID 帖数
20 伪币奖
1 ToyotaYaris 630
2 freewheeler 428
3 flbufl 407
4 laoselang 389
5 altopalo 370
6 zzronaldo 368
7 goFan 335
8 mitbbsinus 266
9 Enrica 246
10 XJL99 230
11 ismellpuke 226
12 foxbat 219
13 antee 202
10 伪币奖
14 little6 196
15 BRZ 192
16 Judsonzhao ... 阅读全帖
f****l
发帖数: 5514
49
来自主题: Automobile版 - 田托为什么怕福特
更何况,这里的美车托里,有几个买了新美车的?
[在 nanx (Brynhildr) 的大作中提到:]
:我不说这些调查结果一定准确,但是人家调查了,尤其像TD这样数据库是完全透明的
。我即使不完全相信他们的调查结果,但也不会相信什么正式的调查都没有就说可靠性
差距越来越小的话。
:【 在 hznz (hznz) 的大作中提到: 】
:...........
f****l
发帖数: 5514
50
来自主题: Automobile版 - 你们都谁收到过jb power或CR的调查
不是发给二手车买家的...
[在 little6 (hopper) 的大作中提到:]
:从来没收到过
:【 在 hznz (hznz) 的大作中提到: 】
:...........
首页 上页 1 2 3 4 5 6 7 8 9 10 下页 末页 (共10页)