由买买提看人间百态

topics

全部话题 - 话题: 错误代码
首页 上页 1 2 3 4 5 6 7 8 9 10 下页 末页 (共10页)
z****e
发帖数: 2024
1
来自主题: Programming版 - 问行C++代码
2是错误的。
因为:
explicit auto_ptr(T* p=0);
z****e
发帖数: 2024
2
来自主题: Programming版 - 问行C++代码
explicit auto_ptr_ref(_Tp1* __p): _M_ptr(__p) { }
这个是我的源码。
auto_ptr_ref<_Ty>也无法自动转化。
所以2是编译错误。
你要是2能过编译,让我知道以下是哪家的编译器好吧。
t****t
发帖数: 6806
3
来自主题: Programming版 - C++现在前进的方向是不是错误的?
我觉得还好啊. 新的代码比以前简洁了.
h*****0
发帖数: 4889
4
来自主题: Programming版 - C++现在前进的方向是不是错误的?
正常程序,没你想象得慢。比较两个比较懒代码随便写的程序员,java程序往往比C++
快。实际上多数项目里C++程序员没有精力去优化速度的。java反倒可以依赖JVM本身的
强力优化。
Java的问题是内存占用较多。不过现在内存越来越大了……
v****s
发帖数: 1112
5
来自主题: Programming版 - C++现在前进的方向是不是错误的?
对,本科的时候我参加机器人竞赛,裸用c来做方向控制,那个代码叫一个壮观,跟asm
有一拼!
o****i
发帖数: 1706
6
我在git上push到学校的服务器后编译老是不通过,错误如下,麻烦大家帮我查下原因
,谢谢啦!
javac -classpath /usr/share/junit/lib/junit.jar -d classes -sourcepath .
Iterator.java List.java TestUpTree.java UpTree.java
UpTree.java:34: type Iterator does not take parameters
public class NIterator implements Iterator{
^
UpTree.java:104: type Iterator does not take parameters
public class SetIterator implements Iterator{
^
TestUpTree.java:51: type Iterator does not take parameters
Iterator it = up.m... 阅读全帖
y****n
发帖数: 15
7
多谢你的指点。
我按下面方式定义macro,用visual studio 2008编译。
但在实际执行add()时,执行的却是减法操作。
如果在add()和subtract()的最后加上"#undef OPER",则会出现编译错误,提示在foo
中找不到OPER的定义。
void add(...)
{
#define OPER +
foo(...)
}
void subtract(...)
{
#define OPER -
foo(...)
}
void foo(...)
{
...
v_out[pos1] = v_in[pos1] OPER v_in[pos2];
...
}

expression
y****n
发帖数: 15
8
多谢大家的解答。
我又重新检查了一下,把线程数为1和2,结果相差10^-17。我现在觉得这不是程序错误
导致的,而是由于使用多个线程时 "F[i] += a" "F[i] += b" 求和顺序的变化引起的
舍入误差。
把atomic换成critical结果差不多,同样存在10^-17的差别。
f*******t
发帖数: 7549
9
来自主题: Programming版 - 这段代码有什么问题?
这种错误在java里比较常见

★ 发自iPhone App: ChineseWeb 7.8
z****e
发帖数: 54598
10
来自主题: Programming版 - agile 说白了就是让外行中间人滚蛋
code review最常遇到的新人的错误代码就是
for(int i=0;i ...
list.remove(i);
}
这种其实用强循环直接就抛异常了
b*******s
发帖数: 5216
11
SOA是被验证过的相当适合大型应用的一种架构,易扩展,健壮,封装良好不容易扩散
错误
d****i
发帖数: 4809
12
这尼玛是人写的吗?N多的错误都数不清了。。。居然还是AIX的文档范例,还好AIX都
是C写的,要不实在是有辱AIX高端大气上档次的历来美名。
t****t
发帖数: 6806
13
完整的code错得没那么离谱, 不过还是很明显的. 最显眼的错误在
operator=的时候, 原来的内存没释放. 另外new和free配对也是错的. 其余小细节就不
说了.
S*******s
发帖数: 13043
14
既然说到重视细节上,这篇回帖里你对LZ一词的使用似乎是错误的
g*****g
发帖数: 34805
15
因为你的论据虽然是正确的,是个常识。但你的论证是错误的。
码农是熟练工种,不等于熟练很容易,恰恰就像我说的钳工,细节取决了成败。
我接你的话,就是为了指出你扯蛋的过程。
W***o
发帖数: 6519
16
我喜欢另起一行的K & R C style
这样在读代码的时候很容易找出block
p***o
发帖数: 1252
17
来自主题: Programming版 - Exception
你这不是都知道么 ...
用exception把处理错误代码和正常流程分开。exception确实是强制处理的,只不过
不一定要在caller处理,你不处理程序直接退出。抛exception的地方和处理exception
地方之间靠finally或者stack unwinding清理资源。当然很多时候finally也不方便,
你看C#和Java或早或迟也加入了类似stack unwinding的try with。
p***o
发帖数: 1252
18
来自主题: Programming版 - Exception
你这不是都知道么 ...
用exception把处理错误代码和正常流程分开。exception确实是强制处理的,只不过
不一定要在caller处理,你不处理程序直接退出。抛exception的地方和处理exception
地方之间靠finally或者stack unwinding清理资源。当然很多时候finally也不方便,
你看C#和Java或早或迟也加入了类似stack unwinding的try with。
f*****w
发帖数: 2602
19
来自主题: Programming版 - node.js错误求指点
是说只要是用异步库 都更适合用mongo是吗?
我就是要写的东西貌似会有很多join 听说mongo对此的支持不是特别好,所以。。。
我上面的code是unit test里面的代码,所以才会出现先清空所有数据这样比较极端的
操作 :-)
c******n
发帖数: 16666
20
来自主题: Programming版 - js里怎么一批批地执行异步操作?
你说内存溢出是数据库的还是本地的?服务器的话几百万个应该没问题
那就是本机溢出了?
本地的话 你“1百万个全部弄完” 你是都存在内存里?每个promise好了之后then 写
到filestream里 最后弄完 到all了再来处理不行?
如果只是要flow control的话 你把那个waitfor改成1k 然后来几次直到百万应该就可
以了吧
注意(没看过q 不过应该都一样)promise all这块 只要有一个子promise reject,全
部都会reject掉。
所以要是猛糙快的话 可以自己把数据库请求这块封装到自己新建的new Promise,然后
即便数据库请求被reject了,你也resolve个错误代码啥的 最后一并处理掉
I********x
发帖数: 858
21
来自主题: Programming版 - 人工智能最终将会消灭人类么?
人类一直就是错误代码,这个bug会被AI修正的。
d******c
发帖数: 2407
22
这里的统计偏差很大
越是入门难的语言,新手越多,基础差的人越多,错误越多
FP要么是老手,要么是很有兴趣的人,或者是新手但是一开始就有人教,有人修正坏习
惯。如果新手自学FP,能写code肯定是基础很好的人。相比之下新手自学python胡写一
气太容易了。
应该加上开发者的经验级别,IQ之类。
g****t
发帖数: 31659
23
是的。我也觉得这是个主要偏差。
能用FP解决实际问题,甚至赚钱的,一定是老手。
或者超级有力量,能折腾的新手。
跟写java的不同。python我感觉做产品其实还是挺有难度的。说到底还是java,c#,c
等适合
team work


: 这里的统计偏差很大

: 越是入门难的语言,新手越多,基础差的人越多,错误越多

: FP要么是老手,要么是很有兴趣的人,或者是新手但是一开始就有人教,
有人修
正坏习

: 惯。如果新手自学FP,能写code肯定是基础很好的人。相比之下新手自学
python
胡写一

: 气太容易了。

: 应该加上开发者的经验级别,IQ之类。

S**P
发帖数: 1194
24
来自主题: Security版 - 求助:Vista系统MSN上不去了
今天重装了电脑,再装MSN的时候被强制装了最新版本的。结果就上不去了。错误代码
上81000306。我确定是连上网了的。是不是我的防火墙跟msn冲突阿?我用的诺顿的杀
毒软件。请问怎么解决这个问题呢?谢谢
w********r
发帖数: 4193
25
来自主题: Security版 - 求助:Vista系统MSN上不去了
你google过这个错误代码了吗?
s*****l
发帖数: 2041
26
来自主题: Security版 - 求助:Vista系统MSN上不去了
有没有去查这个错误代码?
j*****8
发帖数: 13
27
电脑安装了 real media,但是打不开这个软件,出现的说明是 application error,
错误代码是一串很多零的数字。
在网络上搜罗了一通,说能用winamp或者bsplayer播放,试了多次,均打不开 real
media vbr的文件。
在线请教。多谢多谢
g*******6
发帖数: 1340
28
大概google了一下,貌似是比较麻烦的问题,求助解决方案啊~~~不然偶就与所有人
都断绝联系了。。。
j***n
发帖数: 301
g*******6
发帖数: 1340
30
太感谢了~
c*********s
发帖数: 63
31
好奇怪,贴到一个新文件里,就不冲突了。
用原来那个文件,就错误。代码都copy的,都是一样的。
谢谢!
c*********s
发帖数: 63
32
好奇怪,贴到一个新文件里,就不冲突了。
用原来那个文件,就错误。代码都copy的,都是一样的。
谢谢!
x****n
发帖数: 1
33
来自主题: Unix版 - httpd loadmodule 问题
各位:
我在IBM HTTP 1.3.6 (running on NT 4.0)中使用loadmodule来加
入一个module.
在 httpd.conf 中加入了如下行:
LoadModule anon_auth_module modules/ApacheModuleAuthAnon.dll
启动http server
出错,错误代码1067。文件ApacheModuleAuthAnon.dll
在子目录 modules 下。
请高手指点,不胜感谢。
w***y
发帖数: 2537
34
来自主题: Windows版 - 装了CABLE后有问题
电脑不能进入XP,启动不能进入XP界面。用diagnostic CD 查,显示hardware没问题。
PC blue screen显示错误代码为0x0007,查0x0007 是储存体控制区块已毁。
是不是硬盘坏了?还是内存有问题?如果是,为什么diagnostic 查不出?
除了换硬盘,有其它解决办法吗?
另外,问题是在装了CABLE后有的,不知是不是有关系。
c*******h
发帖数: 4883
35
来自主题: Windows版 - 怪异的xp启动问题
第一次启动时会出现兰屏,错误代码0x0000007F。再一次重启后就正常了,不过中间会
跳到启动菜单选择safe mode/normal什么的。第三次重启继续兰屏,第四次又正常。。
。就这么反反复复的一次兰屏一次正常,实在很ft。请教一下,这大概会是什么原因?
e****a
发帖数: 117
36
来自主题: Windows版 - vista不能更新
Definition Update for Windows Defender-KB915597 (Definition 1.31.9351.0)一直
无法更新,返回的错误代码是80070005。google了一下,好像是和permission有关,但
是我的帐号是administrator啊。
xdjm们帮忙支个招啊,谢谢
w*f
发帖数: 92
37
来自主题: Windows版 - vista ultimate sp1装不上
什么错误代码?
w******n
发帖数: 645
38
前两天想着系统好久没更新了,就运行了一下windows update,但有一个重要更新怎么
都装不上,.Net Framwork 4 Client Profile,错误代码为800B010B。网上搜了一圈,
都没找到解决办法,不知道版上有没有高人知道如何解决,万分感谢。我用的是Win7
Ultimate 64bit。
f**h
发帖数: 305
39
【 以下文字转载自 Hardware 讨论区 】
发信人: fash (法师), 信区: Hardware
标 题: 请问aptio的bios如何设置从usb启动
发信站: BBS 未名空间站 (Thu Dec 20 23:21:56 2012, 美东)
新买的asus vivobook s400ca,没有光驱,easeus把win8的硬盘分区搞砸了。现在启动
总是停在提示repair的页面,错误代码是0xc0000225。进到aptio bios里,没看到有硬
盘、usb及其它选项,当前只有Boot Option #1是Windows Boot Manager。下面有Add
New Boot Option,选中后依次有Add boot option,Select Filesystem [PCI(1F|2)\
DevicePa...],Path for boot option,Create。选中path一项后要求格式为fsx:\
path\filename.efi。请问这样的bios要怎样设置才能从usb启动?谢谢。
a*****x
发帖数: 15
40
来自主题: ChineseMed版 - 用编程序来打个比方
还用蓝屏的例子说
蓝屏后正常人(~现代医学)的反应是利用错误代码找到出问题的地方,是硬件就换掉
,是软件就修复(调整设置)或删除
中医典型的反应是,因为蓝色是天空的颜色,是海洋的颜色,给人以宁静的气息,所以
电脑的问题是负载过重,需要宁静下来,降将火
结果碰巧真的是CPU风扇不转了,中医关机冷却后再开,现代医学是换了CPU风扇和温控
程序,结果二者都让系统继续运行了。
但中医把这个例子当作自己理论的伟大胜利,即使这套理论以后再也没管用过。因为世
界是复杂的嘛,我的方法曾经成功过,之后的失败案例不在统计之内
z*****1
发帖数: 10
41
我要写一个8 bit full adder 但是我完成一个1bit fulladder 时候却怎么也过不了
compile error: near "EOF": expecting: ARCHITECTURE CONFIGURATION ENTITY
LIBRARY PACKAGE USE
我都要绝望了。 双击error说是library 错误
代码如下,
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity fulladder is
port(
a,b,cin:in std_logic;
co,s:out_logic);
end fulladder;
architecture structure of fulladder is
signal add:std_logic_vector(1 downto 0);
begin
add<='0'&a+'0'&b+cin;
s<=add(0);
co<=add(1);
end structure;
a*****3
发帖数: 601
42
今天雷锋纪念日 是不是版上也发个‘雷锋奖’? 表彰一下常年在本版学雷锋的同志?
我就先提名pharmd了啊:
该同志政治上立场坚定,思想端正,坚决贯彻党的的路线、方针、政策,思想具有较强
的政治意识、责任意识。 品质端正,无不良爱好。
该同志作风严谨正派 工作积极主; 尊敬领导 团结同志; 几十年如一日 深入田间
地头 帮助广大群众找代码 找资料 得到了村社干部和老百姓的好评。 尤其现在在各大
存储网站纷纷关门 该同志发扬爱一行钻一行的‘钉子’精神 急群众之所急 想群众之
所想, 牺牲了许多休息时间 手工找出很多有用链接.

希望该同志在以后的工作中再接再砺 百尺竿头更进一步.
n*********t
发帖数: 22
43
来自主题: sysop版 - 无法访问邮件
你好!我这几天都无法访问站内邮件。尝试过了几个网络和几个浏览器,都不行。错误
代码502。你能帮忙吗?谢谢!
n*x
发帖数: 73
44
现在点击一篇文章,在firefox下如果用back button来回退到文章主题列表下的话不行
了,要点击back button十几次才可以退回到文章主题列表,估计是你们网站的
javascript的代码有误,导致浏览器的back button无法退回到文章主题页面。
n*x
发帖数: 73
45
火狐是最好的浏览器,其他的浏览器在我的操作系统linux版本下面没法装上,原来没
有这样的情况,就是最近你们改了javascript代码以后才有这个现象,可能就是页面
load以后重复循环的ajax call导致当前页面不断的被更新,从而使得back button无法
正常退回文章主题列表。
c**d
发帖数: 3888
46
来自主题: _Auto_Fans版 - 发动机错误代码
Good question. Cars now can connect to phones, sattellites in space, play
movies and park itself. But they can't display a frigging error code.
maybe the manufacturers want the service departments to make more money
maybe they don't want causual DIY'ers to attempt complex repairs and mess up
the car?
a*****s
发帖数: 6260
47
EMC是基本,做不到的枪毙。
防程序跑飞是基本,做不到的枪毙
最后逻辑控制器件(还不一定是CPU)没有自行检测从错误中恢复的能力,做不到
的统统枪毙。
c*********r
发帖数: 19468
48
也没有这么简单……节气门的动作取决于很多变量,油门踏板只是其中一个,而且ECU
控制的东西很多,还要和其它系统的ECU交互,这里面可能出现的问题还是没那么简单
了……比方说,任何一个map数据发生错误如果事前fail-safe没考虑好都可能发生悲剧
btw
行车电脑一般是指trip computer,和引擎的ECU不是一个系统……一台车上可以有十几
个甚至更多的处理器……
a*****s
发帖数: 6260
49
EMC是基本,做不到的枪毙。
防程序跑飞是基本,做不到的枪毙
最后逻辑控制器件(还不一定是CPU)没有自行检测从错误中恢复的能力,做不到
的统统枪毙。
c*********r
发帖数: 19468
50
也没有这么简单……节气门的动作取决于很多变量,油门踏板只是其中一个,而且ECU
控制的东西很多,还要和其它系统的ECU交互,这里面可能出现的问题还是没那么简单
了……比方说,任何一个map数据发生错误如果事前fail-safe没考虑好都可能发生悲剧
btw
行车电脑一般是指trip computer,和引擎的ECU不是一个系统……一台车上可以有十几
个甚至更多的处理器……
首页 上页 1 2 3 4 5 6 7 8 9 10 下页 末页 (共10页)