由买买提看人间百态

boards

本页内容为未名空间相应帖子的节选和存档,一周内的贴子最多显示50字,超过一周显示500字 访问原贴
EE版 - 请教关于cadence的文件输出一个问题
相关主题
初入RF,请教大牛如何用spectre model在cadence里面创建一个transistor的cell?
大家画mask都用什么软件?麻烦哪位给讲讲GDS文件中layer和cell是干什么用的?
netlogic opening - physical design engineer in CPU group (问个cadence 中的 ocean问题
求教:怎么把GDSII布置成一个mask?Switch Model in Cadence Virtuoso Schematic Editing
请问GDSII文件中的图案应该是在原点的正方向还是以原点为中心?土问 layout的一个问题
请问有什么办法看一个GDSII文件是什么软件生成的?EE's American Dream: From RTL to GDSII in just six weeks
Cadence的Mixed signal IC design Flow请问最便宜的生成gdsii文件的方法
有人熟CADENCE 的ICFB吗??哪能downlodad gdsii and oasis format? (转载)
相关话题的讨论汇总
话题: 文件话题: 输出话题: cif话题: cadence话题: gds
进入EE版参与讨论
1 (共1页)
N****r
发帖数: 507
1
打算把一个layout输出成.gds文件,查网上的方法大多用的是 file-export-stream
out的方法。
但是俺做的时候,输出的.gds文件不能用L-edit打开。实验室以前的一个学生的做法是
在stream out 的窗口里面的template file处加一个文件,这点在网上俺没看到过,但
这样就可以在l-edit里面打开了。
请问这个cadence 文件输出gds是怎样的过程?标准的过程为啥不work呢?
多谢多谢。
s*****o
发帖数: 22187
2
我印象里,L-Edit是支持.CIF(.GDSII) file的。你试试看用cadence stream out一个.CIF(.GDSII) file,然后再用L-edit打开。希望可以。

【在 N****r 的大作中提到】
: 打算把一个layout输出成.gds文件,查网上的方法大多用的是 file-export-stream
: out的方法。
: 但是俺做的时候,输出的.gds文件不能用L-edit打开。实验室以前的一个学生的做法是
: 在stream out 的窗口里面的template file处加一个文件,这点在网上俺没看到过,但
: 这样就可以在l-edit里面打开了。
: 请问这个cadence 文件输出gds是怎样的过程?标准的过程为啥不work呢?
: 多谢多谢。

N****r
发帖数: 507
3
刚才试了一下,但是我的cadence--export --stream out 输出选项里面好像没有文件
格式,只有stream DB 和 ASCII dump两个选择, 倒是在 export菜单里面和stream 并
列的有一个 CIF out, 是不是您说的那个?
s*****o
发帖数: 22187
4
恩,我也看了一下,你用那个CIF试试看,因为L-edit可以导入并导出CIF或GDSII文件
,cadence的GDS文件或许不兼容。所以我觉得CIF可能可以打开。

【在 N****r 的大作中提到】
: 刚才试了一下,但是我的cadence--export --stream out 输出选项里面好像没有文件
: 格式,只有stream DB 和 ASCII dump两个选择, 倒是在 export菜单里面和stream 并
: 列的有一个 CIF out, 是不是您说的那个?

r*****e
发帖数: 620
5
你加layer map table了吗?

【在 N****r 的大作中提到】
: 打算把一个layout输出成.gds文件,查网上的方法大多用的是 file-export-stream
: out的方法。
: 但是俺做的时候,输出的.gds文件不能用L-edit打开。实验室以前的一个学生的做法是
: 在stream out 的窗口里面的template file处加一个文件,这点在网上俺没看到过,但
: 这样就可以在l-edit里面打开了。
: 请问这个cadence 文件输出gds是怎样的过程?标准的过程为啥不work呢?
: 多谢多谢。

N****r
发帖数: 507
6
正要问这个问题呢,好像说是找不到layer map file,而且输出CIF也是要这个好像 请问这个layer map 在哪里能找
到呢?一直都弄不对,急死了,多谢多谢阿
a******e
发帖数: 331
7
it should under you PDK/techfile directory. You need to choose one according
to metalization you used. The layer numbers are decided by foundry and
unique and normally <255.

请问这个layer map 在哪里能找

【在 N****r 的大作中提到】
: 正要问这个问题呢,好像说是找不到layer map file,而且输出CIF也是要这个好像 请问这个layer map 在哪里能找
: 到呢?一直都弄不对,急死了,多谢多谢阿

r*****e
发帖数: 620
8
一般放在一个叫pipo的目录里

请问这个layer map 在哪里能找

【在 N****r 的大作中提到】
: 正要问这个问题呢,好像说是找不到layer map file,而且输出CIF也是要这个好像 请问这个layer map 在哪里能找
: 到呢?一直都弄不对,急死了,多谢多谢阿

1 (共1页)
进入EE版参与讨论
相关主题
哪能downlodad gdsii and oasis format? (转载)请问GDSII文件中的图案应该是在原点的正方向还是以原点为中心?
请问一个LEdit的警告信息请问有什么办法看一个GDSII文件是什么软件生成的?
“国家千人计划特聘专家”李云初剽窃ADI原版技术,一步步复制“ (转载)Cadence的Mixed signal IC design Flow
菜鸟问题: 版图设计里process feature size, database unit (DBU), manufacturing grid, snapping grid 的区别?有人熟CADENCE 的ICFB吗??
初入RF,请教大牛如何用spectre model在cadence里面创建一个transistor的cell?
大家画mask都用什么软件?麻烦哪位给讲讲GDS文件中layer和cell是干什么用的?
netlogic opening - physical design engineer in CPU group (问个cadence 中的 ocean问题
求教:怎么把GDSII布置成一个mask?Switch Model in Cadence Virtuoso Schematic Editing
相关话题的讨论汇总
话题: 文件话题: 输出话题: cif话题: cadence话题: gds