由买买提看人间百态

boards

本页内容为未名空间相应帖子的节选和存档,一周内的贴子最多显示50字,超过一周显示500字 访问原贴
EE版 - asic verification 面试问点什么呢?
相关主题
求建议 ASIC ENGINEER 的面试要准备什么请教一个verilog code
喜欢ASIC VERIFICATION ENGINEER这个方向请问Verilog零基础学起来要多久?
恳求工作内推: Electrical and Computer Engineering方向虚心请教:搞实时+嵌入系统怎么职业规化呢?
恳求工作内推: Electrical and Computer Engineering方向Digital IC 都能找那些公司啊
恳求工作内推: Electrical and Computer Engineering方向Verilog vs VHDL
最后一次恳求工作推荐: EE--------Digital Logic Design/VLSI/南加招聘FPGA/ASIC Design Engineer,站内信箱联系
Job opening: ASIC design verification engineer最后再求一次ASIC/VLSI数字电路的内推!小弟必知恩图报!
有没有人觉得做VLSI的EDA就像是给别人打下手的感觉EE求内推
相关话题的讨论汇总
话题: asic话题: 问点话题: verilog话题: fresh
进入EE版参与讨论
1 (共1页)
A***J
发帖数: 478
1
请问ASIC VERIFICATION 职位一般问点啥?
我是FRESH GRAD.有一点ASIC的背景,会C,C++, PERL VERILOG, SYSTEM VERILOG不会,
但知道一点。
对于FRESH的话,问点啥呢。 谢谢
T******T
发帖数: 3066
2
Not sure for fresh grads, but these are fair game questions.
1) Verilog/VHDL, synthesizable coding style, common mistakes.
2) Design questions : clk div/N(odd), FSM, Async FIFO, Clock domain
crossing techniques, AMBA architecture, setup/hold timing related, maybe
some DSP questions.
3) Verification methodology, from design spec->requirements, testbench setup
, SystemVerilog/Vera/SystemC, assertion, code coverage, fsm coverage,
formal verif, lint, cdc, unit level sim vs system level sim. Random
s*****y
发帖数: 1974
3
第二部分应该看什么书呢?有专门讲的吗?
我只知道自己做过的一些,不是很全面

setup
,

【在 T******T 的大作中提到】
: Not sure for fresh grads, but these are fair game questions.
: 1) Verilog/VHDL, synthesizable coding style, common mistakes.
: 2) Design questions : clk div/N(odd), FSM, Async FIFO, Clock domain
: crossing techniques, AMBA architecture, setup/hold timing related, maybe
: some DSP questions.
: 3) Verification methodology, from design spec->requirements, testbench setup
: , SystemVerilog/Vera/SystemC, assertion, code coverage, fsm coverage,
: formal verif, lint, cdc, unit level sim vs system level sim. Random

T******T
发帖数: 3066
4
I don't know of 1 single book that covers them all, but you can pretty much
find, learn about these topics by just Googling them. At least for fifo,
cdc design, there are lots of whitepapers.

【在 s*****y 的大作中提到】
: 第二部分应该看什么书呢?有专门讲的吗?
: 我只知道自己做过的一些,不是很全面
:
: setup
: ,

d****o
发帖数: 1112
5
strongly suggest to read some books....googling around may confuse you.

much

【在 T******T 的大作中提到】
: I don't know of 1 single book that covers them all, but you can pretty much
: find, learn about these topics by just Googling them. At least for fifo,
: cdc design, there are lots of whitepapers.

T******T
发帖数: 3066
6
ok, fine, then get "HDL chip design" book as a starter

【在 d****o 的大作中提到】
: strongly suggest to read some books....googling around may confuse you.
:
: much

s*****y
发帖数: 1974
7
Thanks,就是一直感觉在第二点上没有系统训练过

【在 T******T 的大作中提到】
: ok, fine, then get "HDL chip design" book as a starter
T******T
发帖数: 3066
8
这个比较难在interview 前临时抱佛脚,如果有时间可以自己写几个小design,在面试
前用
modelsim练练手。 关于timing 的问题要坐下来读书,想想,RTL sim 对这个没用。

【在 s*****y 的大作中提到】
: Thanks,就是一直感觉在第二点上没有系统训练过
A***J
发帖数: 478
9
will be interviewed next Monday. bless thank you TroubleT
T******T
发帖数: 3066
10
good luck.
1 (共1页)
进入EE版参与讨论
相关主题
EE求内推恳求工作内推: Electrical and Computer Engineering方向
请问做VERILOG/VHDL Simulation 的时候能否调用C/C++ function?最后一次恳求工作推荐: EE--------Digital Logic Design/VLSI/
请教:学CE,应该从国内带什么书过去合适Job opening: ASIC design verification engineer
新手, 问FPGA real time control的问题和可行性有没有人觉得做VLSI的EDA就像是给别人打下手的感觉
求建议 ASIC ENGINEER 的面试要准备什么请教一个verilog code
喜欢ASIC VERIFICATION ENGINEER这个方向请问Verilog零基础学起来要多久?
恳求工作内推: Electrical and Computer Engineering方向虚心请教:搞实时+嵌入系统怎么职业规化呢?
恳求工作内推: Electrical and Computer Engineering方向Digital IC 都能找那些公司啊
相关话题的讨论汇总
话题: asic话题: 问点话题: verilog话题: fresh