由买买提看人间百态

boards

本页内容为未名空间相应帖子的节选和存档,一周内的贴子最多显示50字,超过一周显示500字 访问原贴
EE版 - 关于一个DC tcl的问题
相关主题
请教Verilog 实现SPI的问题求助:如何用verilog设计 8-bit squaring ROM
请教xilinx 问题: 怎样 integrate ISE and EDK projectverilog 问题求教
有谁知道怎么读取OTDR的sor文件ic design or signal processing?
Re: VLSI and Cadence请问哪位有好点介绍VHDL的电子书
Re: 给推荐两本好书吧(VHDL/Verilog)求助:verilog的modulus operator
Re: sigh, 一个搞communication的哥们也要被layoff了请问做VERILOG/VHDL Simulation 的时候能否调用C/C++ function?
Re: VHDL vs. Verilog请教转专业做ic design的MS找intern的经验
Re: 什么是 firmware?请问谁有Verilog HDL 高级数字设计的电子版答案?
相关话题的讨论汇总
话题: verilog话题: dc话题: tcl话题: 文件话题: list
进入EE版参与讨论
1 (共1页)
l**n
发帖数: 40
1
请问各位DC高手:
在写tcl的时候,会遇到set my_verilog_files [list a.v b.v c.v]。如果这个list很
长(20多个verilog文件),怎么才能不用把每个file name都写出来?有没有什么
include整个文件夹里的verilog文件的命令?
谢谢!
o****m
发帖数: 633
2

请问文件的顺序如何定义?
比如是a b c这样读取还是 c b a这样读取?

【在 l**n 的大作中提到】
: 请问各位DC高手:
: 在写tcl的时候,会遇到set my_verilog_files [list a.v b.v c.v]。如果这个list很
: 长(20多个verilog文件),怎么才能不用把每个file name都写出来?有没有什么
: include整个文件夹里的verilog文件的命令?
: 谢谢!

l**n
发帖数: 40
3
文件没有特别的顺序,a b c只是个例子,只是文件比较多,请问可以不用一个个list
出来吗?
谢谢!

【在 o****m 的大作中提到】
:
: 请问文件的顺序如何定义?
: 比如是a b c这样读取还是 c b a这样读取?

H********o
发帖数: 346
4
是不是全部.v文件都在同一个directory?
可以试试
set my_verilog_files [glob *.v]
1 (共1页)
进入EE版参与讨论
相关主题
请问谁有Verilog HDL 高级数字设计的电子版答案?Re: 给推荐两本好书吧(VHDL/Verilog)
请教大家一个VHDL和VERILOG的问题Re: sigh, 一个搞communication的哥们也要被layoff了
BIN->DECIMAL有没有快速实现的算法?Re: VHDL vs. Verilog
请教一个VERILOG的问题Re: 什么是 firmware?
请教Verilog 实现SPI的问题求助:如何用verilog设计 8-bit squaring ROM
请教xilinx 问题: 怎样 integrate ISE and EDK projectverilog 问题求教
有谁知道怎么读取OTDR的sor文件ic design or signal processing?
Re: VLSI and Cadence请问哪位有好点介绍VHDL的电子书
相关话题的讨论汇总
话题: verilog话题: dc话题: tcl话题: 文件话题: list