由买买提看人间百态

topics

全部话题 - 话题: 5nm
1 2 3 4 5 6 7 下页 末页 (共7页)

发帖数: 1
1
来自主题: Military版 - 5nm刻蚀机有了,光雕机要加油
中微半导体公布了该公司产品的最新进展,其中等离子体刻蚀设备已在国际一线客户从
65nm到14nm、7nm和5nm的集成电路加工制造及先进封装中有具体应用。
此外,中微半导体的MOCVD(化学气相沉积()设备在行业领先客户的生产线上大规模投
入量产,公司已成为世界排名前列、国内占领先地位的氮化镓基LED设备制造商。
中微半导体表示,今年上半年,中微公司刻蚀设备产品保持竞争优势,批量应用于国内
外一线客户的集成电路加工制造。此外,公司已成功取得5nm逻辑电路、64层3D NAND制
造厂的订单。
在验证顺利的情况下,公司将紧跟客户的生产计划、量产需求有序制定生产计划。
中微半导体的主力产品就是蚀刻机,这也是半导体制造中最重要的设备之一,用来在芯
片上进行微观雕刻,每个线条和深孔的加工精度都是头发丝直径的几千分之一到上万分
之一,精度控制要求非常高。
中微所说的5nm蚀刻机进入5nm供应链也不让人意外,实际上该公司去年就发布了相关消
息了,旗下的蚀刻机已经获得了台积电的认证,后者的5nm生产线上就会使用中微公司
的蚀刻机。

发帖数: 1
2
半导体制造工艺进入10nm之后,难度越来越大,Intel为此多次调整了产品策略,10nm
工艺的产品推迟到今年底,以致于很多人认为摩尔定律将死。推动科技进步的半导体技
术真的会停滞不前吗?这也不太可能,7nm工艺节点将开始应用EUV光刻工艺,研发EUV
光刻机的ASML表示EUV工艺将会支持未来15年,部分客户已经在讨论2030年的1.5nm工艺
路线图了。
此前的报道中我们也解释了半导体芯片制造过程中,光刻是非常关键的一步,决定了芯
片的技术水平。目前使用的是沉浸式光刻工艺,未来则是EUV(即紫外光刻)工艺。不
过EUV工艺研发实在太难了,早在2006年业界就开始联合研发EUV工艺,直到10年后的今
天,EUV光刻机才开始小批量生产,荷兰ASML公司日前在财报中提到去年底他们售出6台
EUV光刻机,总数达到了18台,总价值超过20亿欧元。
今年半导体公司将升级到10nm节点,不过还是用不上EUV工艺,ASML表示在2018年底到
2019年初的7nm工艺节点上,EUV工艺才会正式启用,那时候传统的沉浸式光刻工艺也会
遭遇极限。
现在半导体公司提到的新一代制程工艺除了7nm之外,还有5... 阅读全帖
z****n
发帖数: 3189
3
"台积电表示,基于5nm工艺生产的A72芯片,速度上提升了14.7%-17.1%,同时芯片面积
缩小了1.8倍。
据目前透漏的消息,5nm的设计总成本(人工与许可费)是7nm的1.5倍左右,未来使用
更先进工艺的成本会越来越高,这进一步限制摩尔定律的延续。
用于5nm芯片设计的工具预计要到11月才能准备就绪,台积电的设计基础架构市场营销
部高级总监Suk Lee表示 “我们尚未对所有可能的组合进行测试,但考虑到我们的PDK
已通过认证,我们对该服务充满信心”。"
按摩店会不会先上5nm?
师母已呆
T**E
发帖数: 1892
4
Intel CEO Paul Otellini近日对投资者透露,半导体巨头已经开始了7nm、5nm工艺的
研发工作,这也是Intel第一次官方披露后10nm时代的远景规划。他说:“我们的研究
和开发是相当深远的,我是说(未来)十年。” 按照路线图,22nm工艺之后,Intel
将在2013年进入14nm时代,相应产品代号Broadwell。下一站是10nm,目前还在早期研
究阶段,预计2015年左右实现。
7nm、5nm现在都处于理论研究阶段,具体如何去做还远未定案,而且随着硅半导体
技术复杂度的大幅提高,相信实现它们的代价也会高得多,即便是Intel这样的巨头也
会感到很棘手,不过Paul Otellini向大家保证说,这两种新工艺“正在按时向目标迈
进”。
如果Intel能够在未来十年内继续坚持Tick-Tock发展策略,那么7nm、5nm应该会分
别在2017年、2019年来到我们身边,即便有些许延迟2020年也应该不会有问题。
v*******e
发帖数: 11604
5
我觉得还是得让子弹飞一会儿。这种“将”的东西总是无法担保就一定能按计划完成。
如果是这样的新闻再高兴不迟:“中微半导体设备公司完5nm刻蚀机,批量生产5nm集成
电路成品率90%”。还有就是那个“敲定”不知道是什么意思。比如敲定C919大飞机?
敲定J20隐形机?听起来好像是说要敲定个研发路线的意思,如果是那样,就还没开始
干呢。
a***e
发帖数: 27968
6
刻蚀5nm和光刻5nm又不是一回事
7nm设备都lock了还进军呢

★ 发自iPhone App: ChineseWeb 13
a*****1
发帖数: 3817
7
中兴已熟练掌握10nm,7nm工艺,研发5nm芯片 (转载)
在2019年5月30日的股东大会上,中兴通讯CEO徐子阳再次谈到了芯片的重要作用,徐子
阳称,芯片、专利和软件是中兴通讯竞争力中三个非常核心的竞争力,中兴微电子已经
可以做到通讯里面专用芯片,全部自主设计,通过合作伙伴代工生产,目前已经熟练掌
握了10nm和7nm的工艺,研发向5nm制程进发
https://m.mydrivers.com/newsview/629293.html?ref=
k******k
发帖数: 888
8
http://diy.pconline.com.cn/617/6177379.html
在上周举行的ISSCC(国际固态电路会议)上,Intel公布了其最新的半导体工艺进展,
除了下一代10nm已经处于研发阶段中,也谈到了更加往后的半导体工艺计划。Intel表
示摩尔定律即使到达7nm这个节点,仍然会继续有效,但是为了追上摩尔定律的脚步,
7nm之后Intel很可能将会放弃传统的硅芯片工艺,而引入新的材料作为替代品。现在看
来,10nm有可能将会成为硅芯片工艺的最后一站。事实上,随着硅芯片极限的逐渐逼近
,这几年人们也越来越担心摩尔定律是否会最终失效,因为一旦半导体行业停滞不前,
对于IT业界来说同样会产生极大的影响。本文就跟大家来谈一下目前半导体工艺的进展
情况,以及一旦硅芯片工艺走到尽头,又有什么新的技术方向能够维系半导体工艺的持
续发展。
让我们先来大致了解一下芯片是如何工作的。
一个芯片上整合了数以百万计的晶体管,而晶体管实际上就是一个开关,晶体管能通
过影响相互的状态来处理信息。晶体管的栅极控制着电流能否由源极流向漏极。电子流
过晶体管在逻辑上为“1”,不流过晶体管为“0”,... 阅读全帖
v**e
发帖数: 8422
9
近日,央视《中国财经报道》报道了这样的一则消息,中微半导体设备公司将在今年年
底正式敲定5nm刻蚀机!
当所有的巨头还在为10nm,7nm技术大肆进军的时候,中国中微正式宣布掌握5 nm技术
。措手不及,难以置信!万万没想到,一直在这一领域没有任何话语权的中国内地半导
体企业能够弯道超车!走在半导体技术的前沿,要知道中国90%的芯片都需要靠进口,
怎么可能?就如同当初没人相信中国高铁技术能自主研发并成为世界第一那样!
G****r
发帖数: 5579
10
来自主题: Military版 - Breakthrough in 5nm Chip Technology (ZT)
Bradley McCredie
VIce President and IBM Fellow at IBM
Today, IBM's Research team announced the next breakthrough in semiconductor
innovation that will make significant contributions towards improved system
cost performance. The core of this breakthrough is a new device architecture
that will enable 5nm geometries.
While some industry watchers and pundits have predicted that 7nm may be our
last technology node this new technology provides a strong proof point we
will be advancing past 7nm techno... 阅读全帖
d********8
发帖数: 691
11
来自主题: Military版 - Breakthrough in 5nm Chip Technology (ZT)
5nm这种就是能做出来那也肯定是Intel做出来的,IBM靠边站好多年了,棒子湾湾估计
都看不上他
G****r
发帖数: 5579
12
来自主题: Military版 - Breakthrough in 5nm Chip Technology (ZT)
这次的 5NM 是 IBM 和三星 Global Foundry 合作的。
G****r
发帖数: 5579
13
来自主题: Military版 - Breakthrough in 5nm Chip Technology (ZT)
IBM 必须重新夺回芯片业霸主地位才能重现旧日的光辉, IBM 在 5NM 上的投入是认真
的, 不是像楼上某些人说的是骗 Funding。 当然能否最后成功就要等着看了
t******e
发帖数: 2504
14
GF历来是说大话的大嘴巴, 以前它的14nm也是自己量产做不出了,买了三星的许可才
做出来。
半年前GF,7nm还没有解决,它的CEO就在媒体上夸夸其谈,要搞什么5nm/3nm, NM, 你
也的先把7nm搞出来在吹啊,果然,这SB的7nm量产搞不下去了。
t******e
发帖数: 2504
15
EUV7nm目前没有量产的,目前台积电7nmx线不是EUV,三星和台积电的EUV7nm目前是在
risk production, 它们自己预计明年量产。
还有Intel,虽然它的10nm量产拖延,但它没有宣布放弃使用EUV开发7nm及更小尺寸,
实验室7nm样品早就有了,10nm的risk production的产品,早就做成CPU了,现在intel
的问题,是尽快把已经拖了几年的10nm量产搞出来。
NM,人家台积电是一年一个台阶,2015年16nm量产,2016年12nm, 2017年10nm, 2018年
7nm量产,预计2019年EUV7nm,2020年5nm量产.
l**p
发帖数: 6080
16
来自主题: Military版 - 中微CEO吹牛造出5nm刻蚀机
中微半导体设备公司董事长兼首席执行官尹志尧博士日前介绍,中微半导体的5nm蚀刻
机目前已经进入台积电的供应链,
l**p
发帖数: 6080
17
来自主题: Military版 - 中微CEO吹牛造出5nm刻蚀机
不了解
能不能用来产5nm芯片?
s***d
发帖数: 15421
18
7nm的gds 都做好了, 5nm是amd 硅工现在在做的项目.
s******y
发帖数: 28562
19
短时间内是不太可能代替结构生物学的,结晶术做到的分辨率可以达到1A,也就是0.
1nm。 这个文章虽然号称0.5nm, 但是是用卟啉做的,这个是一个拉曼效果特别明显的
分子。如果在普通分子上恐怕还做不到这个分辨率。
不过这两种技术各有各的优点。无论是结晶术还是冷冻电镜来做结构,本质上都需要对
大量单独样品的平均才能达到optimal resolution, 所以其实最后得出来的图像是一个
对多个分子进行了平均的结果。分子之间的差异(如果有的话)是就没有办法看出来的
。但是这个单分子成像就是真的看到一个分子,所以在理论上可以知道不同分子之间到
底有没有差异,以及多大的差异。

发帖数: 1
20
据报导,艾司摩尔(ASML)上周公布上季财报亮眼,并宣布已接到新一代极紫外光(
EUV)微影机台六部订单,有分析师推测,台积电可能订走了其中五台,即一口气买下5
.5亿美元的设备。
EUV机台每台价值1.1亿美元。研究半导体设备业者的Summit Redstone Partners分析师
耶尔(Jagadish Iyer)说:“我们相信他们(ASML)处于转折点,除非相信技术已经
成熟,没有业者会同时买下每台1.1亿美元的机台。”他认为是一口气订购五台的客户
是台积电。
半导体顾问公司(SA)半导体分析师梅尔(RobertMaire)估计,ASML今年12部EUV机台
的产能已满载。耶尔认为,今年半导体设备支出可能超过业界预测的350亿美元,他说
,三星电子为夺回台积电的订单,将会设法赶上并争取半导体的生意。
台积电为什么要耗费巨资购买EUV光刻机?
我们都知道半导体工艺越先进越好,用以衡量工艺进步的就是线宽,常说的xx nm工艺
就代表这个,这个数字越小就代表晶体管越小,晶体管密度就越大。现在半导体公司已
经进军10nm工艺,但面临的物理限制越来越高,半导体工艺提升需要全新的设备。E... 阅读全帖
s*x
发帖数: 8041
21
来自主题: Military版 - 半导体生产设备和材料
半导体生产设备和材料,是半导体产品的最上游。全世界每年销售的生产设备和材料,
加起来总共800多亿美元左右,如果仅仅从规模上来看,其实并不大,就算有个国家占
据了全球50%的生产设备和材料的份额,一年销售额也就是400亿美元多点。这两个领域
主要是技术门槛高,同时是制高点,因此可以起到控制他国集成电路发展速度的作用。
具体来说,根据国际半导体产业协会SEMI的统计,2016年全球半导体设备出货额412亿
美元,全球半导体材料出货额443亿美元。
而据国际半导体产业协会(SEMI) 2018年1月26日公布的数据,2017年全球半导体设备商
出货金额达到560亿美元,比起上一年大幅增长接近40%,创下历史新高。很遗憾的是,
由于全球新建的12英寸晶圆厂在逐渐向中国集中,因此半导体设备采购额增长,很大程
度上来自中国,在这个领域,我们处于受制于人的局面。
我们看下Gartner 2016年的全球十大半导体设备制造商排名,当然里面并没有中国公司
出现,只有三个国家的公司上榜了,美国,日本和荷兰。
世界前三名是美国应用材料,美国Lam Research,荷兰ASML。
接下来是第四名日本的东京... 阅读全帖
f***y
发帖数: 4447
22
来自主题: Military版 - 除了光刻机,其他都能造
除了光刻机,其他都能造。
https://zhuanlan.zhihu.com/p/33375439
半导体生产设备和材料,是半导体产品的最上游。全世界每年销售的生产设备和材料,
加起来总共800多亿美元左右,如果仅仅从规模上来看,其实并不大,就算有个国家占
据了全球50%的生产设备和材料的份额,一年销售额也就是400亿美元多点。这两个领域
主要是技术门槛高,同时是制高点,因此可以起到控制他国集成电路发展速度的作用。
具体来说,根据国际半导体产业协会SEMI的统计,2016年全球半导体设备出货额412亿
美元,全球半导体材料出货额443亿美元。
而据国际半导体产业协会(SEMI) 2018年1月26日公布的数据,2017年全球半导体设备商
出货金额达到560亿美元,比起上一年大幅增长接近40%,创下历史新高。很遗憾的是,
由于全球新建的12英寸晶圆厂在逐渐向中国集中,因此半导体设备采购额增长,很大程
度上来自中国,在这个领域,我们处于受制于人的局面。
我们看下Gartner 2016年的全球十大半导体设备制造商排名,当然里面并没有中国公司
出现,只有三个国家的公司上榜了,美国,日本和荷... 阅读全帖
s******y
发帖数: 28562
23
基于氮-空位单电子自旋探针的微观核磁共振技术取得阶段性重要突破 2013-02-09 近
日,中国科学技术大学杜江峰教授研究组与德国斯图加特大学的J. Wrachtrup教授组合
作,成功实现了(5nm)体积样品质子信号的检测,取得微观核磁共振技术的突破性进展
。该实验利用掺杂金刚石中距表面7纳米深度的氮-空位单电子自旋作为原子尺度磁探针
,分别实现了(5nm)体积液体和固体有机样品中质子信号的检测,其中包括的质子总
数为一万个,其产生的磁信号强度相当于100个统计极化的核自旋。
近日,中国科学技术大学杜江峰教授研究组与德国斯图加特大学的J. Wrachtrup教授组
合作,成功实现了(5nm)体积样品质子信号的检测,取得微观核磁共振技术的突破性进
展。该实验利用掺杂金刚石中距表面7纳米深度的氮-空位单电子自旋作为原子尺度磁探
针,分别实现了(5nm)体积液体和固体有机样品中质子信号的检测,其中包括的质子
总数为一万个,其产生的磁信号强度相当于100个统计极化的核自旋。此实验为微观磁
共振技术的应用奠定了坚实的基础。该研究成果于2月1日发表在国际权威学术期刊《科
学》杂志上[Scien... 阅读全帖

发帖数: 1
24
来自主题: Military版 - 10纳米的光刻机国产了是假新闻
半导体制造工艺进入10nm之后,难度越来越大,Intel为此多次调整了产品策略,10nm
工艺的产品推迟到今年底,以致于很多人认为摩尔定律将死。推动科技进步的半导体技
术真的会停滞不前吗?这也不太可能,7nm工艺节点将开始应用EUV光刻工艺,研发EUV
光刻机的ASML表示EUV工艺将会支持未来15年,部分客户已经在讨论2030年的1.5nm工艺
路线图了。
此前的报道中我们也解释了半导体芯片制造过程中,光刻是非常关键的一步,决定了芯
片的技术水平。目前使用的是沉浸式光刻工艺,未来则是EUV(即紫外光刻)工艺。不
过EUV工艺研发实在太难了,早在2006年业界就开始联合研发EUV工艺,直到10年后的今
天,EUV光刻机才开始小批量生产,荷兰ASML公司日前在财报中提到去年底他们售出6台
EUV光刻机,总数达到了18台,总价值超过20亿欧元。
今年半导体公司将升级到10nm节点,不过还是用不上EUV工艺,ASML表示在2018年底到
2019年初的7nm工艺节点上,EUV工艺才会正式启用,那时候传统的沉浸式光刻工艺也会
遭遇极限。
现在半导体公司提到的新一代制程工艺除了7nm之外,还有5... 阅读全帖

发帖数: 1
25
来自主题: Military版 - 去年4月试产,今年6月量产
TSMC:7nm高性能芯片6月流片,2019年试产5nm工艺
三星今天宣布了未来三年的半导体制造工艺路线图,将推出8nm、7nm、6nm、5nm及4nm
工艺,其中2020年的4nm工艺将是一个重大技术突破,将会采用全新的Multi Bridge
Channel FET结构(简称MBCFET,多沟道场效应管)解决现有FinFET晶体管的缺陷。无
独有偶,三星的老对手TSMC今天也召开了年度技术大会,虽然没有宣布三星4nm那样的
技术突破,不过TSMC表示10nm已经开始量产,7nm明年就能量产,5nm则会在2019年风险
试产。
TSMC公司联席CEO魏哲家在会议上提到他们已经累计服务了450多家代工伙伴,涉及移动
运算、高性能计算、自动驾驶及IoT物联网等领域,平均算下来每周新增一家客户。
在半导体制程工艺上,TSMC表示移动领域的10nm工艺已经量产,7nm已经有12个客户流
片,预计2018年量产,5nm则会在2019年风险试产。
高性能部分,7nm芯片在6月份会有流片——TSMC的高性能客户不多(相对移动、低功耗
产品来说),NVIDIA还有之前的AMD都算是高性能芯片用户,目... 阅读全帖

发帖数: 1
26
来自主题: Military版 - 10纳米的光刻机国产了是假新闻
為了2017年量產7nm工藝,三星首次引入了EUV光刻機
三星、TSMC發力新一代工藝,不僅10nm工藝量產時間超過Intel,下下代的7nm節點上也
在摩拳擦掌,這兩家爭著在明年搶先量產,而三星更是第一家引入量產型EUV光刻機,
後者是製造7nm及5nm處理器的關鍵設備。
由於半導體工藝越來越複雜,Intel的「Tick-Tock」鐘擺戰略已經放緩,從2年升級一
次工藝變成了3年一次,10nm工藝已經延後到了2017年下半年。Intel腳步放慢,三星、
TSMC可算是得到超越的機會了,原本跟在Intel後面的它們發力新一代工藝,不僅10nm
工藝量產時間超過Intel,下下代的7nm節點上也在摩拳擦掌,這兩家爭著在明年搶先量
產,而三星更是第一家引入量產型EUV光刻機,後者是製造7nm及5nm處理器的關鍵設備。
先進半導體製造工藝越來越困難,原有的技術手段已經不適用,製造10nm以下的電晶體
需要極其複雜的工藝和設備,ASML的EUV光刻機就是其中的關鍵,EUV代表極紫外光,波
長193nm 13nm(波長越短,光刻解析度越高,電晶體就越小),可用於製造10nm及以下
工藝的晶片,但... 阅读全帖
a*****y
发帖数: 33185
27
来自主题: Military版 - 芯片的最后就这这两样
http://t.cj.sina.com.cn/articles/view/5752614292/156e1ed940200053r1?cre=tianyi&mod=pcpager_fintoutiao&loc=3&r=9&doct=0&rfunc=100&tj=none&tr=9
关于5nm刻蚀机,给大家简单介绍一下。在过去九年中,中微半导体先后承担并圆满完
成了65-45纳米、32-22纳米、22-14纳米共三项刻蚀机的研发和产业化任务(国家02重
大专项任务)。这使得国内刻蚀机技术基本与国外同行先进水平保持了同步。中微半导
体现在研发的是全球最先进的5纳米等离子(介质)刻蚀机。在整个芯片制造工艺过程
中,刻蚀工艺只是其中一环。也就是说,这仅仅是5nm工艺技术一个组成部分,且不是
最重要的组成部分。5nm工艺节点最重要的设备应该是光刻机。光刻机负责拍照片把集
成电路的影像印在硅晶元上,蚀刻机则负责把光刻机的影像腐蚀出来变成电路。因此,
网络上的许多报道是夸大了中微的成就。2017年4月,中微半导体发布了一则声明:“
正是中微的客户——国际一流的集成电路制造厂商,推动了芯片技术和生产... 阅读全帖
r*****e
发帖数: 7853
28
来自主题: Stock版 - 真的假的?
扬眉吐气,“中国芯”再添新助力,成功跻身世界一流行列!
军事视频 今天
来源:解放日报、指挥部、网络
不鸣则已,一鸣必惊人!
当全世界都将目光投向华为,关注孟晚舟的时候,上海突然传来大消息,让国人为之振
奋,让世界为之震撼:
中微半导体设备(上海)有限公司自主研制的5纳米等离子体刻蚀机经台积电验证,性
能优良,将用于全球首条5纳米制程生产线。
这意味着中微突破了“卡脖子”技术,让“上海制造”跻身刻蚀机国际第一梯队。
刻蚀机,是芯片制造的核心装备。
现代信息技术和人工智能,都是以芯片为基础的。而刻蚀机作为制造芯片的工具,和光
刻机一样,就相当于农业时代的人手,工业时代的机床。
芯片要成为产品,需要设计和制造。
光刻机和刻蚀机都用于芯片制造,光刻机相当于把设计的电路图复制到硅片上,刻蚀机
再按照这个图进行施工,他们同样都是集成电路生产过程中必不可少的一环。
▲中国早期等离子刻蚀机
下面我们来划一下这条信息的重点:全球!首条!5纳米芯片制程生产线!
是的,你没看错,不负众望!低调的中微半导体设备公司,终于在2018年的收官时刻,
正式敲定了5nm刻蚀机!
5 纳米,什么概念?
同样大小的芯... 阅读全帖
D**s
发帖数: 6361
29
Intel创始人是摩尔定律的提出者,Intel公司也是摩尔定律最坚定的捍卫者。前几年
Intel还在自信半导体工艺领先业界三年半,谁知道14nm节点Intel遭遇了挫折。
而台积电、三星这两家在14/16nm节点之后好像开了挂,10nm工艺去年就宣传说量产了
,今年都要试产7nm了,5nm工艺也要在2020年搞定,这速度可比Intel快多了。
面对被以前的跟班轻松超越的问题,Intel也忍不住了,希望半导体公司在制程工艺描
述上诚实一点,并给出统一的衡量公式。
先说说为什么Intel要介意这个问题。放在几年前,Intel在半导体工艺上一直都是领先
台积电、三星等公司的,22nm节点就开始量产3D晶体管(也就是FinFET工艺),那时候
三星、台积电才推出28nm工艺没多久,跟Intel差距确实挺远的,Intel自然不会有什么
失落感。
但之后的情况不一样了,Intel在14nm遇到了技术问题,原计划的Fab 14工厂升级工艺
也被取消了,以致于Tick-Tock战略停摆,现在14nm工艺都要出四代产品了,这一代工
艺要用差不多4年时间。
台积电、三星的14/16nm FinFET工艺在... 阅读全帖
a***e
发帖数: 27968
30
来自主题: Military版 - 3nm之后,美国就会停滞不前
尼玛不懂物理的别瞎说
硅里面激子5nm大小所以5nm附近是个硅极限。现在的所谓7nm是个等价概念。其实器件
高度50nm,宽度7nm
整体尺寸30x40nm
当年45nm是光刻极限193/4
后来扔水里曝光才到32nm
现在这些个都是不计成本多重曝光出来的结果7nm的片子比10nm的贵已经变态了

★ 发自iPhone App: ChinaWeb 1.1.3
p***n
发帖数: 17190
31
帝區高校那麼厲害肯定能直接攻破1.5nm
6/1.5=4 兩代 三年
ASML 說能做的
帝區高校那麼厲害
川普也沒卡ASML
給個四年
2022肯定可以做出1.5nm來
我相信帝區高校的能力
並給予精神上的支持
f***y
发帖数: 4447
32
https://laoyaoba.com/html/news/newsdetail?source=pc&news_id=724880
集微网消息(文/乐川)中芯国际在今天的2019年第二季度财报会上正式宣布,14nm进
入客户风险量产,有望在今年底为公司带来一定比例的营收,同时第二代FinFET N+1技
术平台也已开始进入客户导入。至此,作为代表着大陆技术最先进、配套最完善、规模
最大、跨国经营的晶圆代工企业,在努力提升集成电路自给率、加快国产替代的大背景
下,中芯国际迈入了新的历史阶段。
晶圆代工产业在经历今年第一季度大幅衰退后,随着半导体供应链库存告一段落、行业
进入传统旺季,第二季度迎来回暖。中芯国际第二季度营收环比增长18.2%,也体现了
行业的周期性变化。中芯国际指出,伴随产业回暖与公司内部改革,公司逐步走出调整
期,成熟工艺平台显著增长,先进技术发展持续突破。
二季度业绩受智能手机、物联网及相关应用驱动强劲增长。从应用类型来看,来自通讯
领域收入占比达到48.9%,同比增加8.5个百分点,环比增加5.9个百分点;从地区分类
来看,来自美国的收入占比下滑至27.5%,中国及... 阅读全帖

发帖数: 1
33
5nm是吹牛吧。实际上也就是7-10吧。5nm会量子遂穿啊


:人家台湾都5了,大陆14都还没搞定。同文同种同智力,到底是什么原因?

发帖数: 1
34
英特尔的10nm量产成功,为今后7nm,5nm,3nm芯片打下基础。
三星/台积电的7nm技术同英特尔完全不一样,走到5nm就到顶了。
而且三星/台积电的7nm性能还比不上英特尔的10nm性能。
f***y
发帖数: 4447
35
http://tech.sina.com.cn/csj/2019-11-25/doc-iihnzhfz1470637.shtml
来源:宁南山(ID:ningnanshan2017)
中国与美国贸易战自2018年3月份爆发以来,已经持续了20个月的时间,在之前的
多篇文章里面,我们已经提到了中国与美国的竞争核心产业在信息技术产业,这是人类
以后很长一段时间内最赚钱的产业,而从底层的硬件,基础软件到应用软件,美国都占
据绝对优势,而在美国以外,只有中国能与之全面竞争,其他国家和经济体只能在某个
方面相对美国占据优势。
在这20个月的时间里面,中国的半导体产业在危机意识的刺激下,加快了技术和工
艺进步的步伐。
本文关注下制造领域的进展。
1:中芯国际和华虹的进展快速
我们首先看下主力军中芯国际的进展情况,
中芯国际在2018年2月8日发布的2017年的全年财报,并没有提到14nm技术的研发进
展情况,只是提到“我們成功上量 28 納米技術產品組合,在 2017 年四季度收入貢獻
超過10%。”
“同時,我們繼續擴展技術平臺,多樣化收入來源”,这里说的技术平台扩展,是
指在更多的行业获取收入... 阅读全帖

发帖数: 1
36
14nm已经很牛的光刻鸡了,美国日本都造不出来,只会从荷兰买现成的鸡,回家下蛋。
14nm的芯片虽然比不上5nm,但已经满足很多领域,可能比5nm的市场还大,便宜。
别到最后又是一个屁,一点渣都没留下,净被骗子骗去一大笔钱,骗子惯用的伎俩,已
经被骗多次
f***y
发帖数: 4447
37
https://news.mydrivers.com/1/661/661427.htm
目前全球最先进的半导体工艺已经进入7nm,下一步还要进入5nm、3nm节点,制造难度
越来越大,其中晶体管结构的限制至关重要,未来的工艺需要新型晶体管。来自中科院
的消息称,中国科学家研发了一种新型垂直纳米环栅晶体管,它被视为2nm及以下工艺
的主要技术候选,意义重大。
从Intel首发22nm FinFET工艺之后,全球主要的半导体厂商在22/16/14nm节点开始启用
FinFET鳍式晶体管,一直用到现在的7nm,未来5nm、4nm等节点也会使用FinFET晶体管
,但3nm及之后的节点就要变了,三星在去年率先宣布3nm节点改用GAA环绕栅极晶体管。
根据官方所说,基于全新的GAA晶体管结构,三星通过使用纳米片设备制造出了MBCFET
(Multi-Bridge-Channel FET,多桥-通道场效应管),该技术可以显著增强晶体管性
能,主要取代FinFET晶体管技术。
此外,MBCFET技术还能兼容现有的FinFET制造工艺的技术及设备,从而加速工艺开发及
生产。
前不久三星还公布了3nm工... 阅读全帖
t******e
发帖数: 2504
38
以前早就有人说到了物理极限, 这个SB问题已经重复了多少年了.
很早就有极限说了,但出来个finFet,超越所谓极限进入20nm以下; 近年来又说7nm是物
理极限, 这不,5nm马上就要出来了; 又说5nm就是物理极限, 前几天三星的3nm芯
片样品也出来了。

发帖数: 1
39
光刻机市场,不是一个小市场。
台湾南韩就要30台EUV,还有新加坡/欧洲的订货。
随着台积电把14nm以上的芯片业务放弃,全部转向7nm以下的芯片制造,EUV订货将出现
爆发。
随着自动驾驶进入潮流,5nm芯片的需求可能超过手机芯片的需求。
昨天看了一个美国F-35战斗机的科教片,这种战斗机上机载计算机运行速度达到每秒
4000亿次,汽车自动驾驶的运算能力不会低于这个数值,所以5nm以下芯片需求巨大。

台。
f***y
发帖数: 4447
40
https://zhuanlan.zhihu.com/p/104986862
传中芯10nm和7nm工艺取得不小突破,台积电是中芯最直接对手
我为科技狂
我为科技狂
放眼全球,追踪、探讨科技圈热门话题。
11 人赞同了该文章
中芯国际,作为中国内地第一大本土芯片代工商,在2018年的时候即对外宣布了14nm
FinFET制程工艺的研发获得成功。接着到今年初,中芯果然不负业界期望,中国内地第
一条14nm工艺产线,中芯南方已经在量产14nm芯片。中芯通过自主研发高端制程工艺,
从28nm到14nm如此之大的跨越,既缩小了与主流大厂的差距,又可说是中国半导体产业
发展史上的一个奇迹。
从中芯国际官网上所公布的信息可知,中芯南方厂其实在2019年第三季度便已成功量产
第一代14nm FinFET工艺。而在按照规划达产后,中芯南方厂将建成两条月产能均为3.5
万片的产线。
到2019年底,中芯14nm工艺的产能为每月3000到5000片晶圆。2020年,中芯14nm产能会
大幅增长,到2020年底每月产出15000片晶圆。
据报道,中芯14nm FinFET工艺研发不断向前推进:第一代14nm... 阅读全帖

发帖数: 1
41
一项设计上的突破将使得工程师能够在单个芯片上安装 300 亿个晶体管,而芯片尺寸
仅为指甲大小。
IBM今日宣布,该公司一个研究团队在晶体管的制造上取得了巨大的突破,有望挽救越
来越濒临极限的摩尔定律,使得电子元件朝着更小、更经济的方向发展。
然而,该突破与最近大热的碳纳米管无关,而是基于一种更基础的理论方法和新制造工
艺。这项突破可能会在未来几年内满足日益增长的市场需求,也有可能为自动驾驶、人
工智能和 5G 网络的实现铺路。
今天,IBM 在京都举行的 VLSI Technology and Circuits 研讨会上宣布,IBM 与其研
究联盟合作伙伴 Global Foundries 以及三星公司为新型的芯片制造了5 纳米(nm)大
小的晶体管。
图丨IBM在 SUNY Polytechnic Institute 的科学家正在准备测试5纳米硅晶片晶体管
为了实现这个壮举,就必须在现有的芯片内部构架上进行改变。研究团队将硅纳米层进
行水平堆叠,而非传统的硅半导体行业的垂直堆叠构架,这使得5nm晶体管的工艺有了
实现可能,而这一工艺将有可能引爆未来芯片性能的进一步高速发展。
实际上... 阅读全帖
t*******a
发帖数: 4055
42
将成大陆首家进入10纳米产业链公司,中芯长电是谁?
科工力量
科工力量
提供对中国高新技术企业和产品的专业点评
分享到:
41
77
2017-09-20 07:54:04 字号:A- A A+ 来源:观察者网
关键字: 中芯长电10纳米
【本文转自微信公众号“科工力量”(ID:guanchacaijing)】
不久前,中芯长电与高通共同宣布,10nm硅片超高密度凸块的加工技术认证开启,通过
认证后,中芯长电将由此成为中国大陆第一家进入10纳米先进工艺技术节点产业链的半
导体公司。
此外,根据8月30日公布中期业绩报告,中芯国际在上半年的营收和毛利皆创下新纪录
,而且被张忠谋“追杀”的台积电“叛将”梁孟松将会担任中芯国际联席CEO职位。中
芯国际的良好发展势头和梁孟松加盟中芯国际,对台积电、联电而言未必是好消息。
并非掌握10nm芯片制造能力
在去年,央视报道中微半导体公司正在研发5nm刻蚀设备,结果有不少媒体一窝蜂报道
中国具备了5nm芯片的制造能力,使中微半导体不得不亲自出来辟谣。而掌握10nm硅片
超高密度凸块的加工技术的能力,也并不意味着就能够生产制造1... 阅读全帖
C*****h
发帖数: 926
43
来自主题: Biology版 - 请教siRNA转染
做一个梯度,100nM, 50nM, 10nM, 5nM, 1nM, 0.5nM, 0.1nM

nM
b*******g
发帖数: 1309
44
你这个是要做实验还是计算
实验的话,我猜是不是NP团聚了,所以怎么也不可能 reverse 回来了
另外5nm 跟10nm 还是有很大的差别的,简单的理解是5nm 比10nm要稳定
至于为什么,要看你的体系了
w*******p
发帖数: 253
45
来自主题: EE版 - 版上有烧炉子的PhD么?
5/5nm is not hard, I grew 0.5nm ternary before...
Z**n
发帖数: 95
46
谢谢指教。看到7nm, 5nm的难度了。
http://semimd.com/blog/tag/5nm/
J*******3
发帖数: 1651
47
太阳能转换效率研究回顾分析与未来展望
导读:
我国太阳能光电化学转换的研究以实现低价高效利用太阳能为目标,二十年来在不同材
料体系中研究了上百种材料,大大促进了光电转换材料特别是多晶、薄膜半导体及新一
代纳米结构半导体和有机/半导体复合材料的发展。
引言
进入二十世纪以来,人类的工业文明得以迅猛发展,由此引发的能源危机和环境污
染成为急待解决的严重问题,利用和转换太阳能是解决世界范围内的能源危机和环境问
题的一条重要途径。世界上第一个认识到光电化学转换太阳能为电能可能实现的是
Becquere1,他在1839年发现涂布了卤化银颗粒的金属电极在电解液中产生了光电流,
以后Brattain、Garrett及Gerisher等人先后提出和建立了一系列有关光电化学能量转
换的基本概念和理论,开辟了光电化学研究的新领域。1972年Honda和Fujishima应用n-
TiO2电极成功的进行太阳能光分解水制氢,使人们认识到光电化学转换太阳能为电能和
化学能的应用前景。从此,以利用太阳能为背景的光电化学转换成为一个非常活跃的科
学研究前沿。光电化学太阳电池的一个突出的特点是材料制备工艺简单,即使应... 阅读全帖
p*******m
发帖数: 20761
48
来自主题: CellularPlan版 - 台积电/三星工艺耍花招:Intel生气了
本文经超能网授权转载,其它媒体转载请经超能网同意
Intel创始人是摩尔定律的提出者,Intel公司也是摩尔定律最坚定的捍卫者。前几年
Intel还在自信半导体工艺领先业界三年半,谁知道14nm节点Intel遭遇了挫折。
而台积电、三星这两家在14/16nm节点之后好像开了挂,10nm工艺去年就宣传说量产了
,今年都要试产7nm了,5nm工艺也要在2020年搞定,这速度可比Intel快多了。
面对被以前的跟班轻松超越的问题,Intel也忍不住了,希望半导体公司在制程工艺描
述上诚实一点,并给出统一的衡量公式。
台积电/三星工艺耍花招:Intel生气了
先说说为什么Intel要介意这个问题。放在几年前,Intel在半导体工艺上一直都是领先
台积电、三星等公司的,22nm节点就开始量产3D晶体管(也就是FinFET工艺),那时候
三星、台积电才推出28nm工艺没多久,跟Intel差距确实挺远的,Intel自然不会有什么
失落感。
但之后的情况不一样了,Intel在14nm遇到了技术问题,原计划的Fab 14工厂升级工艺
也被取消了,以致于Tick-Tock战略停摆,现在14nm工艺都要出四... 阅读全帖
c*********r
发帖数: 19468
49
来自主题: _Auto_Fans版 - 对EVO有研究的进来给说说
clutch type能调preload的很多,不过真要一个车轮悬空,这个preload根本不算什么
因为一般也就是静摩擦时提供才几十Nm的量级的扭矩,至多一、两百Nm
而一个车轮悬空时离合的滑移率就百分之好几百了,这时的摩擦系数已经很低,实际能
转移出来的扭矩少得可怜了已经……假定本来是50Nm的preload,现在可能就5Nm……
5Nm的轮上扭矩算个什么?
1 2 3 4 5 6 7 下页 末页 (共7页)