由买买提看人间百态

topics

全部话题 - 话题: pll
首页 上页 1 2 3 4 5 6 7 8 9 10 下页 末页 (共10页)
M*****n
发帖数: 16729
1
来自主题: Biology版 - 业内人士来说说single molecule吧
pll那里很暖和的.
只要你不怕热.
z********g
发帖数: 48
2
咳!看来没人把STED原理讲清楚,我就简单说一下吧。
因为任何可见光发光点都有半波长衍射极限,所以光学分辨的理论极限是可见光下限
400nm的一半。
STED(stimulated emission depletion),中文可译为受激发射损耗。
STED的核心思想是,用一束光斑象面包圈(Donut)的、波长比激发光略长的激光将荧光
分子的受激荧光强制损耗掉。
也就是用Donut laser强行让激发态的电子回到基态(stimulated emission),这个过程
也会发出荧光,但与正常跃迁的荧光波长不一样,可被过滤。
于是只剩下如一根针似的荧光,在XY平面上的分辨率就大大提高了,据称可以达到50nm
以下,但在Z方向的分辨率仍没有改善。
地狱先生(Stefan W. Hell)在读博的时候狂热于超分辨显微技术,1990年博士毕业之
后在家待业一年,发明了4Pi显微镜。然后大概在93年做第二个博后时,某天看光学物
理书,突发灵感,发明了STED,原理就是利用了光学中的受激发射跃迁(Stimulated
emission)。
娃娃鱼拼错了stimulated。simulate是模拟。... 阅读全帖
z********g
发帖数: 48
3
咳!看来没人把STED原理讲清楚,我就简单说一下吧。
因为任何可见光发光点都有半波长衍射极限,所以光学分辨的理论极限是可见光下限
400nm的一半。
STED(stimulated emission depletion),中文可译为受激发射损耗。
STED的核心思想是,用一束光斑象面包圈(Donut)的、波长比激发光略长的激光将荧光
分子的受激荧光强制损耗掉。
也就是用Donut laser强行让激发态的电子回到基态(stimulated emission),这个过程
也会发出荧光,但与正常跃迁的荧光波长不一样,可被过滤。
于是只剩下如一根针似的荧光,在XY平面上的分辨率就大大提高了,据称可以达到50nm
以下,但在Z方向的分辨率仍没有改善。
地狱先生(Stefan W. Hell)在读博的时候狂热于超分辨显微技术,1990年博士毕业之
后在家待业一年,发明了4Pi显微镜。然后大概在93年做第二个博后时,某天看光学物
理书,突发灵感,发明了STED,原理就是利用了光学中的受激发射跃迁(Stimulated
emission)。
娃娃鱼拼错了stimulated。simulate是模拟。... 阅读全帖
n********k
发帖数: 2818
4
In this case, you could try to just address to the reviewer...but as Pll
suggested, I would try to work into my discussions...meanwhile, DaZan
Reviewer's great suggestion blablabla..
l**********1
发帖数: 5204
5
来自主题: Biology版 - 泪奔
同主题阅读:Re: 今年6月的NIH RO1得分出来了吗
[版面:生物学][首篇作者:cherry8982] , 2012年06月20日23:24
发信人: pll (娃娃鱼), 信区: Biology
标 题: Re: 今年6月的RO1得分出来了吗
发信站: BBS 未名空间站 (Thu Jun 21 00:15:25 2012, 美东)
6月提交的申请要到10月才知道分。如果是去年10月提交的申请,这会儿如果是能拿到
钱,应该已经接到通知了。
omitted
发信人: brihand (brihand), 信区: Biology
标 题: Re: 今年6月的RO1得分出来了吗
发信站: BBS 未名空间站 (Thu Jun 21 17:23:31 2012, 美东)
这个主要是国会现在不能正常在9月底通过预算。去年NIH预算是12月份下来的。前年是
4月份下来的。

http://www.mitbbs.com/article_t/Biology/31684617.html
s******y
发帖数: 28562
6

镜头上标的:)我们已经在用了,的确很亮。
另外,有句话说的是,尽信书不如无书。关于这个分辨率的问题,你和 aardlbx
说的那个分辨率 和波长 以及 N.A. 什么什么关系这个公式我当然知道。
但是这个也是很容易让人误会的一个公式。如果盲目照搬的话(比方说如果
对泡在水溶液里的活体细胞使用油镜的话),反而是要出乱子的。
这个不如让pll来说吧。
s******y
发帖数: 28562
7
我现在和你解释的档次,已经是用初级光学的水平来和你解释了。
如果你以为我是一个做传统生物学的人所以肯定不懂这个问题,
那么你就大错特错了。我好歹在个专业的成像专家手下做过两年,我对光学的理解坦白
来说肯定不如pll, 但是是比你高一些是没有问题的。所以你在不了解你的对手的底细
前提下,要谦虚一点。
你问的那些问题,我就不同角度已经反复解释了很多次了。如果你还是不明白,
那我也就不浪费时间了。
s*****j
发帖数: 6435
8
我看这个pll要不要出来说句话, 昨天他帮了你以下, 要不然你那个"dry lens NA 1.
2" 还很亮的笑话还不会结束的那么快.
t****r
发帖数: 66
9
为了方便阅读,我把原楼里的有意思的回复也贴在下面...
发信人: pll (娃娃鱼), 信区: Biology
标 题: Re: 不如说说具体哪个生物领域比较容易和数学结合起来吧?
发信站: BBS 未名空间站 (Mon Aug 27 14:13:42 2012, 美东)
从数理和生物结合的角度讲,能两边都有很好基础的人太少,绝大多数人都是从搞合作
开始,半路出家。我经历过也见过很多失败的合作,搞生物的觉得你们数理不过提供给
我一个工具,生物一点都不懂,自己又提不出来科学问题,搞数理的觉得没我这个工具
,光靠你那些瓶瓶罐罐的纯生物手段,这个问题你想都不敢想。最后一拍两散。互相了
解交流真得很重要,可惜的有这样兴趣的人不多。所以,对sunnyday这样的生物背景,
但是对数理这么感兴趣的同学,我们还是应该和风细雨一点的。反过来,我们这些数理
背景的人,去做生物,也会犯很多同样的错误。我和一个有n篇CNS的前辈合作,当初查
着wiki看完人家的review paper,就和人讨论问题,前辈还是很耐心的和我谈了很久,
让我很感动。
搞数理,往往把生物想得太简单,以为有天才想法就能革命生物。... 阅读全帖
t****r
发帖数: 66
10
发信人: pll (娃娃鱼), 信区: Biology
标 题: Re: 不如说说具体哪个生物领域比较容易和数学结合起来吧?
发信站: BBS 未名空间站 (Tue Aug 28 12:36:00 2012, 美东)
我觉得经典的生物文章,故事比较象Agatha Christie的小说,几乎全是基
于间接证据 Circumstantial Evidence 的推理猜测比较多,最后把所有证据摆
在一起,故事收官。我不觉得这有什么错,Agatha Christie的那个时代,没
DNA鉴定什么的,破案就只能这么破。当然有些文章的故事太眩了,和Agatha
有得一比。
数理近百年来已经形成了个比较完善的理论体系和实验手段,所以文章比较象
CSI,要求有直接Physical Evidence,但是就像破案一样,在找到Physical
Evidence以前,还是需要花大量时间和精力顺着 Circumstantial
Evidence摸找Suspect。找Suspect的过程种,数理研究可以利用模型分析大
量数据,人为的猜测少一些。找到了Suspect,最后搞数理的人必须做DNA鉴
定,否则文章... 阅读全帖
l**********1
发帖数: 5204
11
老爱 算生物光学STED 的一点一或二个 牛顿 吧
考古下买买提bio 分舵
>
发信人: pll (娃娃鱼), 信区: Biology
标 题: Re: 哪位能科普一下ZHUANG的单分子荧光
发信站: BBS 未名空间站 (Mon Mar 19 02:05:03 2012, 美东)
Hell的STED传承于爱因斯坦。
当年爱因斯坦预言了simulated emission effect,几十年后,人们靠特殊物质的
stimulated emission effect造出了激光,激光出现几十年后,Hell靠着强大的激光在
生物样品中做出了simulated emission effect,在这个effect的基础上实现了超分辨
成像。
爱因斯坦才是真正的牛人,特别敢想,而且只想不做实验,把实验都留给了别人做,造
就好几个实验诺奖。

http://mitbbs.ca/article_t1/Biology/31646749_31647241_5.html
82th floor
and
http://mitbbs.ca/article_t1/Biology/31646749_31647... 阅读全帖
s******y
发帖数: 28562
12
【 以下文字转载自 Faculty 讨论区 】
发信人: pll (娃娃鱼), 信区: Faculty
标 题: Re: 被学生气得半死,上来发个牢骚
发信站: BBS 未名空间站 (Tue Jul 2 21:51:53 2013, 美东)
我今天也被我二杆子的学生气死了。我让他去生物系学做样品,本意无非是想让他对项
目的各个方面都了解。结果这个二杆子挑三拣四,跑过来说:我不要做生物,做了我就
找不到工作了!不能要技术员给我做好了我就测测样品吗!一同去的博后跟我说,他都
不愿承认自己去生物系做试验,路上碰见同学,骗人家说自己去物理系做试验!
他这水平,一个氨基酸的名字都写不出来,想做生物还没人要呢!派他到生物系做一点
人家本科生的试验,就好像我要推他下火坑一样。我们实验室一直都是靠NIH的钱过日
子,搞了半天人家愿意拿NIH发的工资,却看不起做生物!
e*******o
发帖数: 4654
13
来自主题: Biology版 - 也谈损坏仪器该不该赔。
我能理解被学生损坏了仪器的老师的心情。尤其是现在,申请经费不容易。然而,如果
是一个几美刀,或者几十的仪器,估计谁都不放在心上。说到底,还是因为穷。
不过,老师再困难,也比学生拿出几千刀容易吧。怎么处理呢?spt009 的经历,我看
了是很感动。人与人之间,多数人还是有感情的,你帮人一把,人家能反过来捅你一刀?
当然,如果是故意损坏,或者多次失误,那是另一回事了。如果是恶意,那肯定立即走
人,这个没话说。
有什么措施呢? 危险或者容易损坏的仪器,不要让一个人单独使用。一个人来做,另
一个人来检查。
pll 说的那个“做试验的很强的红外激光”, 我觉得也不全是那个学生的责任。如果
危险,就要放到不能射到别人能出现的地方。要从根本上杜绝。
-------
分割线
-------
生物这个行当,当了PI又如何,学生实验不注意把仪器弄坏了,还得心疼半天。这是就
是所谓的成功者的生存状态。有人当了PI还想转行,这个我一点都不觉得奇怪。
更何况,多数人根本没有PI的机会。我就不继续说下去了。
c****m
发帖数: 91
14
来自主题: EE版 - Re:请推荐ATM 经典著作?
ATM Component Review
Components developed for ATM applications cover a broad range of optical
and semiconductor technologies, including lasers, photodetectors, high
level integrated circuits, RISC processors, PLL, SAW filters and oscillators,
VCXO, and cable transceivers. It is a major effort to survey the industry
for components to use in an ATM product and to keep up-to-date on component
developments. The ATM COMPONENT REVIEW is a publication which contains
detailed technical information on co
m**e
发帖数: 150
15
来自主题: EE版 - Re: WANTED! experts on VCO.
I recommend you two papers.
John G. Maneatis and Mark A. Horowitz
Precise Dealy Generation Using Coupled Oscillators
IEEE JOURNAL of Solid-state Ciruits VOL 28 No. 12 Dec. 1993 P1273-P1281
John G. Maneatis
Low-Jitter Process-Independent DLL and PLL Based on Self-Biased Techniques
IEEE JOURNAL of Solid-state Circuits VOL 31. NO.11 NOv. 1996
They used the same voltage control dealy buffer. It's delay is determined
by the control voltage and independent of the supply voltage by using
a bias circuit
f***s
发帖数: 1582
16
来自主题: EE版 - Re: 另一低级问题
一大拿朋友意见如下:
well, it is kind of a huge question, since PLL alone is such a topic you
can write a whole book. Basically, one of the key thing is the desigh of
the low pass filter which is called loop filter. There is no fixed
standard for a good filter in all the cases, it depends on your
situation and in general you have to find a trade-off between acquistion
time and the output phase noise. The idea is the choice of loop
bandwidth, if it is large, it will help you accelerate your acquistion,
but
q********w
发帖数: 6
17
来自主题: EE版 - Re: question about PLL and FFT?
Yes! It's really a problem to measure the accurate amplitude
of a SIN signal if the sampling window is not exactly
the mutiple of period of the SIN,because FFT is just a
sample of FT.to solve the problem,one of the effective way
is to get N sets of the samples,say,A,B,C....,then average
the power of these samples.
Equation:((abs(FFT(A))^2+(abs(FFT(B))^2+...)/N
and of course another way,increase the sampling frequency
as high as possible.
m*****t
发帖数: 3477
18
来自主题: EE版 - 问一下,RF还是Mixed signal
甭想了,mixed-signal ms出来可以找到工作,但是并不容易,离精通差的太远了。
ic design上课就是一个感性认识,什么都没有tap out过硬。
前面的几个老id,你问哪个,哪个都会告诉你,phd出来再工作个3-5年,人家也才敢说
:我
入门了。
mixed-signal (不是就光做个adc pll)比rf范围还广,需要的东西还多。
mbright是做ams的,可能有点bias?
r****i
发帖数: 17
19
来自主题: EE版 - 帮忙找一篇文章 (转载)
【 以下文字转载自 UESTC 讨论区 】
发信人: renwei (Wei), 信区: UESTC
标 题: 帮忙找一篇文章
发信站: BBS 未名空间站 (Tue Dec 19 10:42:17 2006)
我们学校图书馆没有买IEICE的期刊...只好麻烦大家帮忙了,谢谢:)
在IEICE transactions on electron
上发表的:
low spurious frequency setting algorithm for a triple tuned type PLL
synthesizer driven by a DDS
如果查到电子版的,麻烦发到m************[email protected]
要是有只有书,看能否复印或者扫描了发给我。
多谢各位
c*******h
发帖数: 4883
20
你需要LPF or BPF?
不知道你说的track filter是什么,不过PLL就有这种track的作用,它本身就是一个性
能优良的窄带滤波器——教科书语。

找了一下,好像可以用tracking filter, 有人用过么?怎么simulate。
s********e
发帖数: 4064
21
从国内出来俩月了,前面4年在ZTE和HW做RF研发,出来前在HW做了仨月供应商管理和质
量控制,RF方向的。个人感觉自己的水平绝对够烂,本科毕业后啥都想尝试,工作了几
年搞了几个基站以后,忽然觉得自己啥都不会,就到美国来混。
到美国以后发现没有美国大学学历不可能找得到工作,现在愤而读书,准备GRE和T,想
做RFIC,因为这几年主要做PLL,也想做Mix-signal IC, 继续做电路也行,深入下去
做也好。
想就申请学校的方面咨询大侠们,可是本版的规定好像不允许专门发贴“For graduate
application”。
看这里好多人对通信行业挺关心,就插上一点自己的看法。
手机俺不懂,大家也应该不会关心,对于设备供应商,毫无疑问,爱立信是大牛,方案
设计,产品质量没得说,Nokia-Siemense原先一直在其后面,现在也是第二,不过好
像已经被HW和ZTE搞得没什么话说了。HW和ZTE就是整天打架,今天Z抢H一盘菜,明天H
抢Z一块肉,显然H是军队出身的,打架要狠一点。它俩谁也没打死谁,却殃及不少无辜
,小日本的松下京瓷日立集体沉默,贝尔朗迅阿卡赶紧抱团,moto的W产品干脆
c*******h
发帖数: 4883
22
来自主题: EE版 - 请教一个电路设计的问题
try pll
j***j
发帖数: 324
23
来自主题: EE版 - 请教一个电路设计的问题
PLL or DLL.
b***r
发帖数: 149
24
来自主题: EE版 - 请教一个电路设计的问题
PLL
a******e
发帖数: 80
25
我仿真一个PLL (cadence schematic simulation),里面的VCO的频率取决于它的前
一级的电压。
因为我做的是时域的仿真,所以当我画VCO的输出电压时,得到一个振荡的信号,当然
,频率不是非常固定,
我现在想画出这个振荡信号的频率,可是当我选了Calculator中Special function里的
frequency时,我得到的是一个single value (scalar data),而不是一个随着时间
变化的值。
请问应该怎么做,谢谢。
m**********g
发帖数: 16
26
来自主题: EE版 - circuit design的offer的选择
去Sun.
microprocessor那个组十有八九是ultrasparc那个组。circuit design接触东西比较多
, pll, memory都有机会。对你来说第一份工作就是攒经验,为以后能带来更好的机会
。所以SUN是首选。
我还能告诉你很多qualcomm远远好于sun的方面:qualcomm提供瓶装矿泉水, sun 只提
供自来水。sun 的印度籍manager相当的mean, 等等。但是这些重要吗?至于你想多方
面发展,可能绿卡后会有更好的选择。
最后长期做memory,你能提高的就是版图,和差分放大器这两块,对你将来的收益可能
会低于你的预期
w7
发帖数: 76
27
来自主题: EE版 - Career path 呀,career path!
正在找工作当中,一直做的的东西偏重于high-speed I/O方面,也就是high speed
serial serdes,(一般serdes包括I/O driver, PLL, CDR, Equalizer)等等。在学校
期间对于power management,RF IC都有涉猎,但不是完全花时间在上面,所以也就是
有些皮毛的知识,做过一些相关的project而已。通过找工作,越发感觉high-speed I/
O不是个主流的方向,一些recruiter甚至认为那就是digital ckt design(虽然我个人
不同意这点)。确实Serdes处理的是大信号的东西,但是实现的基础还是analog based
的,尤其在速度越来越高的时候。
我还是希望能转到做一些hardcore analog的block,power management 是一方面,RF/
base band 是另一方面。因为第一个job对carrer path非常重要,所以有些困惑。不知
道interface (high speed I/O) 这方面以后的发展会怎样。有industry的老革命能不
能给我们讲一
z*****n
发帖数: 7639
28
FT, what is PLL? Phase Lock Loop.
z**y
发帖数: 22
29
想简单了解点原理,设计仿真什么的。Best那本怎么样,刚出的第六版。
z**y
发帖数: 22
r****r
发帖数: 1693
31
IC设计 尤其是数字IC设计,主要还是在工作中积累经验,
很多职位的要求只是BSEE/MSEE就可以了,学校中的课程
只要是了解器件原理,基本的集成数字电路,VLSI设计原理,
数字信号处理就可以了.
PhD应该去做模拟IC,AD/DA/PLL/RF啥的,那部分很高深,
最好读书期间就争取积累流片经验.越老越吃香阿.
j***j
发帖数: 324
32
来自主题: EE版 - 用什么仪器产生这种波形
那个3ns的pulse不用DLL或者PLL很难弄出来,即使DLL也不可能满足exactly 3ns,一般
fpga都没办法。
何况还不知道要多大的驱动能力。
b*****e
发帖数: 1193
33
来自主题: EE版 - 用什么仪器产生这种波形
有自带GHz PLL的FPGA,自己找去。
要买一般的SG,不行;
b*****e
发帖数: 1193
34
来自主题: EE版 - 用什么仪器产生这种波形
Virtex-5 -3
FVCO: PLL VCO Frequency 400-1440Mhz
FOUTMAX: 710Mhz
凑合用吧
c*********6
发帖数: 858
35
PLL, not TLL
r****g
发帖数: 2
36
VCO 是 PLL 的一部分 VCO的频率可以随PD和LOOP FILTER返回的电压
变化。N 是分频系数。 如果是整数的话直接分就可以了。小数则需要几个LOOP实
z******i
发帖数: 3
37
仔细看datasheet, google一下PLL, Frequency Synthesizer的工作原理就知道了
w****j
发帖数: 237
38
Inverse Scattering的是和医学仪器有关系,还有就是和国防也好像有联系,其实电磁
的也有人去搞MRI的,缺点是好像现在医学仪器的研发找人不多,公司就这么几个,估
计毕业后找工作比较困难.
计算电磁场也是同样的情况,工业界就这么几个公司,国防的又去不了,就业面还是太
窄了。
其实电磁场里和工业界联系最紧密地还是天线和无源器件设计,而且现在很多芯片公司
也找人搞on-chip transformer,inductor什么的。当然,如果想成为射频工程师,有
源的LNA,PLL的也要自己学了~~
p*****x
发帖数: 17
39
行行能出状元.我本科学核物理.现在做数字设计.好上手,数学会二进制就行.高数和数
理方程都还给老师鸟~~~
analog design -> cuicirt design for analog IP like PLL, DLL, Serdes, pad
design
digital design -> verification, RTL, top-level integration (STA, DFT etc)
layout design -> various CAD tools, floorplan, CTS, P&R, LvS, DRC
DSP and communication-> firmware, RTL, algorithm
s******7
发帖数: 9
40
我经常上未名空间,知道这里有很多热心人.鉴于情况紧急,只有求大家帮助了.有哪位朋
友的公司正在招低职位的CMOS analog/mixed-signal designer请帮忙递下简历,我先生
擅长Sigma-Delta, fractional-N PLL, VCO, ESD设计.我住San Jose.请与此联系
s*******[email protected]
全家不胜感激.
后续:
报告大家一个好消息, 经过艰苦奋斗, 老公终于找到工作了,今天去签了OFFER. 在这里首先感谢给我发EMAIL的朋友们, 在最困难的时刻给了我们很大鼓励和帮助. 经过这次找工, 我发现这边的JOB AGENT还是满厉害的,最差也能给联系一个电话面试. 最近两个快成的面试都是AGEN安排的.自己从网上直接发给公司的基本没戏,还挡了AGENT的路.看来最近就业还不是很差.
d**e
发帖数: 94
41
mixed signal(data converters, PLL), power management, RFICs
P*******d
发帖数: 266
42
add switch cap. ckt, gm-c filter, Pll, flash/pipe line ADC
a******t
发帖数: 75
43
你所提到的PLL之类的电路,才是RFIC工业界或者学术界关注的东西。在UC系统里,这
类属于“integrated circuits and systems"这个专业。
MMIC是更偏向于微波电磁波专业。这两个专业还是很不同的,前者更注重于应用方面。
如果你更偏向于前者,为什么不直接申请“integrated circuits and systems".出来
找工作比MMIC容易多了, MMIC偏军方应用,中国人很难进那些公司。
m***l
发帖数: 12
44
大家好,
请教一个关于测试eye diagram的问题.
假如我设计一个PLL, 频率为10GHz, 用示波器来显示输出clk的波形, 所用的示波器必
须同时有一个外部trigger信号, 也就是说, 我需要再输出一个low speed信号来
trigger示波器, 比如clk/32的信号.
那么, 如果我要在示波器上显示输出clk的eya diagram,也应该需要一个和clk同步的低
频信号来trigger示波器, 不知道这个低频信号有什么要求? 比如需要是clk的奇数分频
(eg:clk/31)还是偶数分频(clk/32)还是都行?
不知道那位大哥用过示波器测眼图的, 能给小弟指点一下, 先谢谢了!
a*m
发帖数: 6253
45
he has another book, about the cmos receiver design for optical
communication.Talking more or less about the PLL at GHz.
d****o
发帖数: 1112
46
来自主题: EE版 - 有了解injection lock的吗
不是,一般是一个电容,一个电感,再一个电容
和pi的形状相似
4个PLL每个都应该有自己的pi network滤波
s********l
发帖数: 35
47
来自主题: EE版 - 有了解injection lock的吗
谢谢以上几位的回复.pi网络指的是两电容一电感,接在DC-DC与四个PLLVDD之间.另外又
在每个PLLVDD PIN脚旁都加一个100pF的电容.
我有几个疑问,
1.如果真的存在injection pulling的话,是不是一定会有PLL不锁定(或说输出频率不是
N*Fref)???
2.在相同电路配置情况下,injection pulling是随机出现的吗,也就是多次测试会出现
结果不同的情况?有时有pulling有时又没有.
s********l
发帖数: 35
48
来自主题: EE版 - 有了解injection lock的吗
谢谢anti有价值的提醒,但这几个PLL都是振荡在相同频率上的,还会有pulling现象吗?
s********l
发帖数: 35
49
来自主题: EE版 - 有了解injection lock的吗
每个PLL都有自已的VCO,输出经CML送出。任何两个VCO之间的距离约1mm,VCO间耦合的
可能性很小。

FREQUENCY).
t*****m
发帖数: 254
50
来自主题: EE版 - 有了解injection lock的吗
那你的4个PLL应该算是同相的,因为4个DDS是公用一个参考源的。虽然4个DDS每次启动
的时候相差不定,但是启动后是有固定相差的。所以参考源频差应该不是问题。
首页 上页 1 2 3 4 5 6 7 8 9 10 下页 末页 (共10页)